r43945 - in /trunk/libverilog-perl: ./ Netlist/ Parser/ Preproc/ debian/ t/ verilog/

nhandler-guest at users.alioth.debian.org nhandler-guest at users.alioth.debian.org
Sat Sep 12 01:29:11 UTC 2009


Author: nhandler-guest
Date: Sat Sep 12 01:29:05 2009
New Revision: 43945

URL: http://svn.debian.org/wsvn/pkg-perl/?sc=1&rev=43945
Log:
Update to 3.213-1

Modified:
    trunk/libverilog-perl/Changes
    trunk/libverilog-perl/EditFiles.pm
    trunk/libverilog-perl/Getopt.pm
    trunk/libverilog-perl/Language.pm
    trunk/libverilog-perl/META.yml
    trunk/libverilog-perl/Makefile.PL
    trunk/libverilog-perl/Netlist.pm
    trunk/libverilog-perl/Netlist/Cell.pm
    trunk/libverilog-perl/Netlist/File.pm
    trunk/libverilog-perl/Netlist/Interface.pm
    trunk/libverilog-perl/Netlist/Module.pm
    trunk/libverilog-perl/Netlist/Net.pm
    trunk/libverilog-perl/Netlist/Pin.pm
    trunk/libverilog-perl/Netlist/Port.pm
    trunk/libverilog-perl/Netlist/Subclass.pm
    trunk/libverilog-perl/Parser/Makefile.PL
    trunk/libverilog-perl/Parser/Parser.pm
    trunk/libverilog-perl/Parser/SigParser.pm
    trunk/libverilog-perl/Parser/VParse.cpp
    trunk/libverilog-perl/Parser/VParseBison.y
    trunk/libverilog-perl/Parser/bisonpre
    trunk/libverilog-perl/Parser/callbackgen
    trunk/libverilog-perl/Preproc/Makefile.PL
    trunk/libverilog-perl/Preproc/Preproc.pm
    trunk/libverilog-perl/Preproc/VPreprocLex.l
    trunk/libverilog-perl/Preproc/xsubppfix
    trunk/libverilog-perl/Std.pm
    trunk/libverilog-perl/debian/changelog
    trunk/libverilog-perl/t/30_preproc.out
    trunk/libverilog-perl/t/30_preproc_nows.out
    trunk/libverilog-perl/t/30_preproc_on.out
    trunk/libverilog-perl/t/30_preproc_sub.out
    trunk/libverilog-perl/verilog/inc1.v
    trunk/libverilog-perl/vhier
    trunk/libverilog-perl/vpassert
    trunk/libverilog-perl/vppreproc
    trunk/libverilog-perl/vrename

Modified: trunk/libverilog-perl/Changes
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Changes?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Changes (original)
+++ trunk/libverilog-perl/Changes Sat Sep 12 01:29:05 2009
@@ -3,7 +3,19 @@
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
 
-* Verilog::Language 3.21****
+* Verilog::Language 3.213 2009/09/10
+
+***	Improved warning when "do" used as identifier.
+
+****	Fix compilation and installation on MacOS 10.4. [Robert Guenzel]
+
+****	Fix escaped preprocessor identifiers, bug106. [Nimrod Gileadi]
+
+****	Fix Perl 5.8.8 compile error, rt48226. [Marek Rouchal]
+
+****	Fix Perl 5.8.0 compile error with callbackgen. [Kjeld Svendsen]
+
+* Verilog::Language 3.212 2009/07/20
 
 ***	Fix syntax errors when using vhier/Netlist with --language 1364-2001.
 

Modified: trunk/libverilog-perl/EditFiles.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/EditFiles.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/EditFiles.pm (original)
+++ trunk/libverilog-perl/EditFiles.pm Sat Sep 12 01:29:05 2009
@@ -13,7 +13,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 #######################################################################
 # CONSTRUCTORS

Modified: trunk/libverilog-perl/Getopt.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Getopt.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Getopt.pm (original)
+++ trunk/libverilog-perl/Getopt.pm Sat Sep 12 01:29:05 2009
@@ -16,7 +16,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 # Basenames we should ignore when recursing directories,
 # Because they contain large files of no relevance

Modified: trunk/libverilog-perl/Language.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Language.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Language.pm (original)
+++ trunk/libverilog-perl/Language.pm Sat Sep 12 01:29:05 2009
@@ -187,7 +187,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 #### Internal Variables

Modified: trunk/libverilog-perl/META.yml
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/META.yml?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/META.yml (original)
+++ trunk/libverilog-perl/META.yml Sat Sep 12 01:29:05 2009
@@ -1,6 +1,6 @@
 # http://module-build.sourceforge.net/META-spec.html
 name:         Verilog-Perl
-version:      3.212
+version:      3.213
 version_from: Language.pm
 license:      perl
 installdirs:  site

Modified: trunk/libverilog-perl/Makefile.PL
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Makefile.PL?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Makefile.PL (original)
+++ trunk/libverilog-perl/Makefile.PL Sat Sep 12 01:29:05 2009
@@ -18,6 +18,11 @@
 	$out .= "LDLOADLIBS += -lstdc++\n";
 	# Cygwin: High optimization causes g++ "out of memory"
 	$out .= "OPTIMIZE += -O\n";
+    }
+    if ($Config{osname} =~ /darwin/i || $Config{archname} =~ /darwin/i) {
+	# MakeMaker wants to create bundles on MacOSX rather than dylibs. We override DLEXT and LDDLFLAGS generated by MakeMaker in this case
+	$out .= "DLEXT = dylib\n";
+	$out .= sprintf("LDDLFLAGS = -dynamiclib -lstdc++ -L/System/Library/Perl/%vd/%s/CORE -lperl -lgcc_eh -L/usr/local/lib\n",$^V,$Config{archname});
     }
     $out .= "CCFLAGS += -Wall -Wno-unused -Werror\n" if $ENV{VERILATOR_AUTHOR_SITE};
     $out .= "CCFLAGS  += $ENV{VERILOGPERL_CCFLAGS}\n" if defined $ENV{VERILOGPERL_CCFLAGS};

Modified: trunk/libverilog-perl/Netlist.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist.pm (original)
+++ trunk/libverilog-perl/Netlist.pm Sat Sep 12 01:29:05 2009
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($Debug $Verbose $VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 #### Error Handling

Modified: trunk/libverilog-perl/Netlist/Cell.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Cell.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Cell.pm (original)
+++ trunk/libverilog-perl/Netlist/Cell.pm Sat Sep 12 01:29:05 2009
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::Cell::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('new',
 	'Verilog::Netlist::Cell::Struct'

Modified: trunk/libverilog-perl/Netlist/File.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/File.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/File.pm (original)
+++ trunk/libverilog-perl/Netlist/File.pm Sat Sep 12 01:29:05 2009
@@ -13,7 +13,7 @@
 @ISA = qw(Verilog::Netlist::File::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('new',
 	'Verilog::Netlist::File::Struct'

Modified: trunk/libverilog-perl/Netlist/Interface.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Interface.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Interface.pm (original)
+++ trunk/libverilog-perl/Netlist/Interface.pm Sat Sep 12 01:29:05 2009
@@ -14,7 +14,7 @@
 @ISA = qw(Verilog::Netlist::Interface::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('new',
 	'Verilog::Netlist::Interface::Struct'

Modified: trunk/libverilog-perl/Netlist/Module.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Module.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Module.pm (original)
+++ trunk/libverilog-perl/Netlist/Module.pm Sat Sep 12 01:29:05 2009
@@ -16,7 +16,7 @@
 @ISA = qw(Verilog::Netlist::Module::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('new',
 	'Verilog::Netlist::Module::Struct'

Modified: trunk/libverilog-perl/Netlist/Net.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Net.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Net.pm (original)
+++ trunk/libverilog-perl/Netlist/Net.pm Sat Sep 12 01:29:05 2009
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::Net::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 my %_Type_Widths = (
     'bit'	=> 1,

Modified: trunk/libverilog-perl/Netlist/Pin.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Pin.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Pin.pm (original)
+++ trunk/libverilog-perl/Netlist/Pin.pm Sat Sep 12 01:29:05 2009
@@ -17,7 +17,7 @@
 @ISA = qw(Verilog::Netlist::Pin::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('new',
 	'Verilog::Netlist::Pin::Struct'

Modified: trunk/libverilog-perl/Netlist/Port.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Port.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Port.pm (original)
+++ trunk/libverilog-perl/Netlist/Port.pm Sat Sep 12 01:29:05 2009
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::Port::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 structs('_new_base',
 	'Verilog::Netlist::Port::Struct'

Modified: trunk/libverilog-perl/Netlist/Subclass.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Subclass.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Subclass.pm (original)
+++ trunk/libverilog-perl/Netlist/Subclass.pm Sat Sep 12 01:29:05 2009
@@ -10,7 +10,7 @@
 use vars qw($VERSION @EXPORT);
 use strict;
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 @EXPORT = qw(structs);
 
 # Maybe in the future.  For now all users of this must do it themselves

Modified: trunk/libverilog-perl/Parser/Makefile.PL
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/Makefile.PL?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/Makefile.PL (original)
+++ trunk/libverilog-perl/Parser/Makefile.PL Sat Sep 12 01:29:05 2009
@@ -24,6 +24,11 @@
 	$out .= "LDLOADLIBS += -lstdc++\n";
 	# Cygwin: High optimization causes g++ "out of memory"
 	$out .= "OPTIMIZE += -O\n";
+    }
+    if ($Config{osname} =~ /darwin/i || $Config{archname} =~ /darwin/i) {
+	# MakeMaker wants to create bundles on MacOSX rather than dylibs. We override DLEXT and LDDLFLAGS generated by MakeMaker in this case
+	$out .= "DLEXT = dylib\n";
+	$out .= sprintf("LDDLFLAGS = -dynamiclib -lstdc++ -L/System/Library/Perl/%vd/%s/CORE -lperl -lgcc_eh -L/usr/local/lib\n",$^V,$Config{archname});
     }
     # The ../Makefile.PL will override these if make is called from there!
     $out .= "CCFLAGS  += -Wall -Wno-unused -Werror\n" if $ENV{VERILATOR_AUTHOR_SITE};

Modified: trunk/libverilog-perl/Parser/Parser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/Parser.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/Parser.pm (original)
+++ trunk/libverilog-perl/Parser/Parser.pm Sat Sep 12 01:29:05 2009
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($VERSION $Debug);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 #$Debug sets the default value for debug.  You're better off with the object method though.
 

Modified: trunk/libverilog-perl/Parser/SigParser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/SigParser.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/SigParser.pm (original)
+++ trunk/libverilog-perl/Parser/SigParser.pm Sat Sep 12 01:29:05 2009
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 our @_Callback_Names = qw(
   attribute

Modified: trunk/libverilog-perl/Parser/VParse.cpp
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/VParse.cpp?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/VParse.cpp (original)
+++ trunk/libverilog-perl/Parser/VParse.cpp Sat Sep 12 01:29:05 2009
@@ -102,10 +102,9 @@
 
 void VParse::fakeBison() {
     // Verilog::Parser and we don't care about the syntax, so just Lex.
-    static const size_t chunk = 8192;
     VParseBisonYYSType yylval;
-    char buffer[chunk+10];
     while (int tok = lexToBison(&yylval)) {
+	if (tok) {} // Prevent unused on some GCCs
     }
 }
 

Modified: trunk/libverilog-perl/Parser/VParseBison.y
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/VParseBison.y?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/VParseBison.y (original)
+++ trunk/libverilog-perl/Parser/VParseBison.y Sat Sep 12 01:29:05 2009
@@ -108,6 +108,12 @@
 static int  VParseBisonlex(VParseBisonYYSType* yylvalp) { return PARSEP->lexToBison(yylvalp); }
 
 static void VParseBisonerror(const char *s) { VParseGrammar::bisonError(s); }
+
+static void ERRSVKWD(VFileLine* fileline, const string& tokname) {
+    static int toldonce = 0;
+    fileline->error((string)"Unexpected \""+tokname+"\": \""+tokname+"\" is a SystemVerilog keyword misused as an identifier.");
+    if (!toldonce++) fileline->error("Modify the Verilog-2001 code to avoid SV keywords, or use `begin_keywords or --language.");
+}
 
 %}
 
@@ -745,6 +751,7 @@
 
 portSig<str>:
 		id/*port*/				{ $<fl>$=$<fl>1; $$=$1; }
+	|	idSVKwd					{ $<fl>$=$<fl>1; $$=$1; }
 	;
 
 //**********************************************************************
@@ -1169,6 +1176,7 @@
 			{ VARDONE($<fl>1, $1, $2, ""); }
 	|	id variable_dimensionListE sigAttrListE '=' variable_declExpr
 			{ VARDONE($<fl>1, $1, $2, $5); }
+	|	idSVKwd					{ }
 	//
 	//			// IEEE: "dynamic_array_variable_identifier '[' ']' [ '=' dynamic_array_new ]"
 	//			// Matches above with variable_dimensionE = "[]"
@@ -1631,6 +1639,7 @@
 
 netId<str>:
 		id/*new-net*/				{ $<fl>$=$<fl>1; $$=$1; }
+	|	idSVKwd					{ $<fl>$=$<fl>1; $$=$1; }
 	;
 
 sigAttrListE:
@@ -1773,6 +1782,7 @@
 cellpinItemE:			// IEEE: named_port_connection + named_parameter_assignment + empty
 		/* empty: ',,' is legal */		{ PINNUMINC(); }  /*PINDONE(yylval.fl,"",""); <- No, as then () implies a pin*/
 	|	yP_DOTSTAR				{ PINDONE($<fl>1,"*","*");PINNUMINC(); }
+	|	'.' idSVKwd				{ PINDONE($<fl>1,$2,$2);  PINNUMINC(); }
 	|	'.' idAny				{ PINDONE($<fl>1,$2,$2);  PINNUMINC(); }
 	|	'.' idAny '(' ')'			{ PINDONE($<fl>1,$2,"");  PINNUMINC(); }
 	|	'.' idAny '(' expr ')'			{ PINDONE($<fl>1,$2,$4);  PINNUMINC(); }
@@ -2912,6 +2922,12 @@
 	|	yaID__ETC				{ $<fl>$=$<fl>1; $$=$1; }
 	;
 
+idSVKwd<str>:			// Warn about non-forward compatible Verilog 2001 code
+	//			// yBIT, yBYTE won't work here as causes conflicts
+		yDO					{ $<fl>$=$<fl>1; $$=$1; ERRSVKWD($<fl>1,$$); }
+	|	yFINAL					{ $<fl>$=$<fl>1; $$=$1; ERRSVKWD($<fl>1,$$); }
+	;
+
 variable_lvalue<str>:		// IEEE: variable_lvalue or net_lvalue
 	//			// Note many variable_lvalue's must use exprOkLvalue when arbitrary expressions may also exist
 		idClassSel				{ $<fl>$=$<fl>1; $$ = $1; }

Modified: trunk/libverilog-perl/Parser/bisonpre
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/bisonpre?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/bisonpre (original)
+++ trunk/libverilog-perl/Parser/bisonpre Sat Sep 12 01:29:05 2009
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 our $Self;
 

Modified: trunk/libverilog-perl/Parser/callbackgen
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/callbackgen?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/callbackgen (original)
+++ trunk/libverilog-perl/Parser/callbackgen Sat Sep 12 01:29:05 2009
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 # xs_manual=>1,   -> The .xs file makes the handler itself
 
@@ -193,7 +193,7 @@
 	    push @out, "    static string hold${n}; hold${n} = $arg ? \"1\":\"0\";\n";
 	    $callargs .= ", hold${n}.c_str()";
 	} elsif ($type eq 'int') {
-	    push @out, "    static string hold${n}; static char num${n}[30]; sprintf(num${n},\"%d\",$arg); hold${n}=num${n};\n";
+	    push @out, "    static string hold${n}; static char num".$n."[30]; sprintf(num${n},\"%d\",$arg); hold${n}=num${n};\n";
 	    $callargs .= ", hold${n}.c_str()";
 	} elsif ($type eq 'undef') {
 	    $callargs .= ", NULL";

Modified: trunk/libverilog-perl/Preproc/Makefile.PL
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/Makefile.PL?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/Makefile.PL (original)
+++ trunk/libverilog-perl/Preproc/Makefile.PL Sat Sep 12 01:29:05 2009
@@ -24,6 +24,11 @@
 	$out .= "LDLOADLIBS += -lstdc++\n";
 	# Cygwin: High optimization causes g++ "out of memory"
 	$out .= "OPTIMIZE += -O\n";
+    }
+    if ($Config{osname} =~ /darwin/i || $Config{archname} =~ /darwin/i) {
+	# MakeMaker wants to create bundles on MacOSX rather than dylibs. We override DLEXT and LDDLFLAGS generated by MakeMaker in this case
+	$out .= "DLEXT = dylib\n";
+	$out .= sprintf("LDDLFLAGS = -dynamiclib -lstdc++ -L/System/Library/Perl/%vd/%s/CORE -lperl -lgcc_eh -L/usr/local/lib\n",$^V,$Config{archname});
     }
     $out .= "CCFLAGS  += -Wall -Wno-unused -Werror\n" if $ENV{VERILATOR_AUTHOR_SITE};
     #$out .= "CCFLAGS += -O0 -ggdb\n" if $ENV{VERILATOR_AUTHOR_SITE};  print "%Warning: -O0 --gdb on, also FLEX -d on";

Modified: trunk/libverilog-perl/Preproc/Preproc.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/Preproc.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/Preproc.pm (original)
+++ trunk/libverilog-perl/Preproc/Preproc.pm Sat Sep 12 01:29:05 2009
@@ -11,7 +11,7 @@
 use strict;
 use vars qw($VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 #### Configuration Section

Modified: trunk/libverilog-perl/Preproc/VPreprocLex.l
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/VPreprocLex.l?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/VPreprocLex.l (original)
+++ trunk/libverilog-perl/Preproc/VPreprocLex.l Sat Sep 12 01:29:05 2009
@@ -75,7 +75,7 @@
 crnl		[\r]*[\n]
 quote		[\"]
 backslash	[\\]
-symb		[a-zA-Z_][a-zA-Z0-9_$]*
+symb		([a-zA-Z_][a-zA-Z0-9_$]*|\\[^ \t\f\r\n]+)
 drop		[\032]
 
 	/**************************************************************/

Modified: trunk/libverilog-perl/Preproc/xsubppfix
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/xsubppfix?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/xsubppfix (original)
+++ trunk/libverilog-perl/Preproc/xsubppfix Sat Sep 12 01:29:05 2009
@@ -12,7 +12,9 @@
     # Fix xsubpp 1.9508 and GCC 4.2.1 warning
     # "warning: deprecated conversion from string constant to ‘char*’"
     $line =~ s/^ *char\* file = __FILE__;/char* file = (char*)__FILE__;/;
-    $line =~ s/newXSproto\(\"/newXSproto((char*)\"/;
+    if($line =~ /newXSproto/) {
+	$line =~ s/([(,]\s*)"/$1(char*)"/g;
+    }
     #
     print "$line";
 }

Modified: trunk/libverilog-perl/Std.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Std.pm?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/Std.pm (original)
+++ trunk/libverilog-perl/Std.pm Sat Sep 12 01:29:05 2009
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 #######################################################################
 # ACCESSORS

Modified: trunk/libverilog-perl/debian/changelog
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/debian/changelog?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/debian/changelog (original)
+++ trunk/libverilog-perl/debian/changelog Sat Sep 12 01:29:05 2009
@@ -1,3 +1,9 @@
+libverilog-perl (3.213-1) UNRELEASED; urgency=low
+
+  * (NOT RELEASED YET) New upstream release
+
+ -- Live session user <ubuntu at ubuntu>  Sat, 12 Sep 2009 01:26:43 +0000
+
 libverilog-perl (3.212-2) UNRELEASED; urgency=low
 
   * debian/control: Changed: Replace versioned (build-)dependency on

Modified: trunk/libverilog-perl/t/30_preproc.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/30_preproc.out?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/t/30_preproc.out (original)
+++ trunk/libverilog-perl/t/30_preproc.out Sat Sep 12 01:29:05 2009
@@ -233,4 +233,12 @@
 verilog/inc1.v:180: beginend   // 2001 spec doesn't require two tokens, so "beginend" ok
 verilog/inc1.v:181: beginend    // 2001 spec doesn't require two tokens, so "beginend" ok
 verilog/inc1.v:182: "beginend"  // No space "beginend"
-verilog/inc1.v:183: `line 183 "verilog/inc1.v" 2
+verilog/inc1.v:183: 
+verilog/inc1.v:184: //======================================================================
+verilog/inc1.v:185: // bug106
+verilog/inc1.v:186:  
+verilog/inc1.v:187:  
+verilog/inc1.v:188:   got_escaped
+verilog/inc1.v:189: 
+verilog/inc1.v:190: Not a \`define
+verilog/inc1.v:191: `line 191 "verilog/inc1.v" 2

Modified: trunk/libverilog-perl/t/30_preproc_nows.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/30_preproc_nows.out?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/t/30_preproc_nows.out (original)
+++ trunk/libverilog-perl/t/30_preproc_nows.out Sat Sep 12 01:29:05 2009
@@ -92,4 +92,6 @@
 verilog/inc1.v:180: beginend 
 verilog/inc1.v:181: beginend 
 verilog/inc1.v:182: "beginend" 
-verilog/inc1.v:183: `line 183 "verilog/inc1.v" 2
+verilog/inc1.v:188:  got_escaped
+verilog/inc1.v:190: Not a \`define
+verilog/inc1.v:191: `line 191 "verilog/inc1.v" 2

Modified: trunk/libverilog-perl/t/30_preproc_on.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/30_preproc_on.out?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/t/30_preproc_on.out (original)
+++ trunk/libverilog-perl/t/30_preproc_on.out Sat Sep 12 01:29:05 2009
@@ -287,4 +287,12 @@
 verilog/inc1.v:180: beginend   // 2001 spec doesn't require two tokens, so "beginend" ok
 verilog/inc1.v:181: beginend    // 2001 spec doesn't require two tokens, so "beginend" ok
 verilog/inc1.v:182: "beginend"  // No space "beginend"
-verilog/inc1.v:183: `line 183 "verilog/inc1.v" 2
+verilog/inc1.v:183: 
+verilog/inc1.v:184: //======================================================================
+verilog/inc1.v:185: // bug106
+verilog/inc1.v:186:  
+verilog/inc1.v:187:  
+verilog/inc1.v:188:   got_escaped
+verilog/inc1.v:189: 
+verilog/inc1.v:190: Not a \`define
+verilog/inc1.v:191: `line 191 "verilog/inc1.v" 2

Modified: trunk/libverilog-perl/t/30_preproc_sub.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/30_preproc_sub.out?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/t/30_preproc_sub.out (original)
+++ trunk/libverilog-perl/t/30_preproc_sub.out Sat Sep 12 01:29:05 2009
@@ -348,4 +348,14 @@
 verilog/inc1.v:181: DS<DS<begin>DS<end>>     /*CMT*/ 
 COMMENT: // No space "beginend"
 verilog/inc1.v:182: "beginend"   /*CMT*/ 
-verilog/inc1.v:183: `line 183 "verilog/inc1.v" 2
+verilog/inc1.v:183: 
+COMMENT: //======================================================================
+verilog/inc1.v:184:  /*CMT*/ 
+COMMENT: // bug106
+verilog/inc1.v:185:  /*CMT*/ 
+verilog/inc1.v:186:  
+verilog/inc1.v:187:  
+verilog/inc1.v:188:   DS<got_escaped>
+verilog/inc1.v:189: 
+verilog/inc1.v:190: Not a \`define
+verilog/inc1.v:191: `line 191 "verilog/inc1.v" 2

Modified: trunk/libverilog-perl/verilog/inc1.v
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/verilog/inc1.v?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/verilog/inc1.v (original)
+++ trunk/libverilog-perl/verilog/inc1.v Sat Sep 12 01:29:05 2009
@@ -180,3 +180,11 @@
 `BEGIN`END   // 2001 spec doesn't require two tokens, so "beginend" ok
 `BEGINEND    // 2001 spec doesn't require two tokens, so "beginend" ok
 `quoteit(`BEGIN`END)  // No space "beginend"
+
+//======================================================================
+// bug106
+`define \esc`def got_escaped
+`ifdef \esc`def
+  `\esc`def
+`endif
+Not a \`define

Modified: trunk/libverilog-perl/vhier
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vhier?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/vhier (original)
+++ trunk/libverilog-perl/vhier Sat Sep 12 01:29:05 2009
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 # main

Modified: trunk/libverilog-perl/vpassert
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vpassert?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/vpassert (original)
+++ trunk/libverilog-perl/vpassert Sat Sep 12 01:29:05 2009
@@ -33,7 +33,7 @@
 	     %File_Mtime %File_Mtime_Read %File_Mtime_Read_Used
 	     %File_Dest
 	     );
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 # configuration

Modified: trunk/libverilog-perl/vppreproc
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vppreproc?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/vppreproc (original)
+++ trunk/libverilog-perl/vppreproc Sat Sep 12 01:29:05 2009
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 # main

Modified: trunk/libverilog-perl/vrename
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vrename?rev=43945&op=diff
==============================================================================
--- trunk/libverilog-perl/vrename (original)
+++ trunk/libverilog-perl/vrename Sat Sep 12 01:29:05 2009
@@ -23,7 +23,7 @@
 	     $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords
 	     @Files);
 
-$VERSION = '3.212';
+$VERSION = '3.213';
 
 ######################################################################
 




More information about the Pkg-perl-cvs-commits mailing list