r62055 - in /trunk/libverilog-perl: ./ Netlist/ Parser/ Parser/gen/ Preproc/ debian/ debian/patches/ t/ verilog/

aelmahmoudy-guest at users.alioth.debian.org aelmahmoudy-guest at users.alioth.debian.org
Thu Aug 26 12:24:59 UTC 2010


Author: aelmahmoudy-guest
Date: Thu Aug 26 12:24:41 2010
New Revision: 62055

URL: http://svn.debian.org/wsvn/pkg-perl/?sc=1&rev=62055
Log:
* New upstream release
* Dropped digest-sha.diff patch, as it is applied upstream.


Removed:
    trunk/libverilog-perl/debian/patches/
Modified:
    trunk/libverilog-perl/Changes
    trunk/libverilog-perl/EditFiles.pm
    trunk/libverilog-perl/Getopt.pm
    trunk/libverilog-perl/Language.pm
    trunk/libverilog-perl/META.yml
    trunk/libverilog-perl/Makefile.PL
    trunk/libverilog-perl/Netlist.pm
    trunk/libverilog-perl/Netlist/Cell.pm
    trunk/libverilog-perl/Netlist/ContAssign.pm
    trunk/libverilog-perl/Netlist/Defparam.pm
    trunk/libverilog-perl/Netlist/File.pm
    trunk/libverilog-perl/Netlist/Interface.pm
    trunk/libverilog-perl/Netlist/ModPort.pm
    trunk/libverilog-perl/Netlist/Module.pm
    trunk/libverilog-perl/Netlist/Net.pm
    trunk/libverilog-perl/Netlist/Pin.pm
    trunk/libverilog-perl/Netlist/Port.pm
    trunk/libverilog-perl/Netlist/Subclass.pm
    trunk/libverilog-perl/Parser/Parser.pm
    trunk/libverilog-perl/Parser/SigParser.pm
    trunk/libverilog-perl/Parser/bisonpre
    trunk/libverilog-perl/Parser/callbackgen
    trunk/libverilog-perl/Parser/gen/bisonpre-0
    trunk/libverilog-perl/Preproc/Preproc.pm
    trunk/libverilog-perl/Preproc/VPreProc.h
    trunk/libverilog-perl/Preproc/toolhash
    trunk/libverilog-perl/Std.pm
    trunk/libverilog-perl/debian/changelog
    trunk/libverilog-perl/t/14_numbers.t
    trunk/libverilog-perl/t/35_sigparser.out
    trunk/libverilog-perl/t/42_dumpcheck_2.out
    trunk/libverilog-perl/t/42_dumpcheck_2e.out
    trunk/libverilog-perl/t/42_dumpcheck_2v.out
    trunk/libverilog-perl/verilog/pinorder.v
    trunk/libverilog-perl/vhier
    trunk/libverilog-perl/vpassert
    trunk/libverilog-perl/vppreproc
    trunk/libverilog-perl/vrename

Modified: trunk/libverilog-perl/Changes
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Changes?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Changes (original)
+++ trunk/libverilog-perl/Changes Thu Aug 26 12:24:41 2010
@@ -2,6 +2,16 @@
 
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
+
+* Verilog::Language 3.302 2010/08/26
+
+****	Increase define recursions before error.  [Paul Liu]
+
+***	Fix documentation on verilog_text and link, bug278. [Mike Z]
+
+****	Use Digest::SHA instead of SHA1, bug189.  [Ahmed El-Mahmoudy]
+
+****	Fix false test failure if Math::BigInt not installed.
 
 * Verilog::Language 3.301 2010/08/04
 

Modified: trunk/libverilog-perl/EditFiles.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/EditFiles.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/EditFiles.pm (original)
+++ trunk/libverilog-perl/EditFiles.pm Thu Aug 26 12:24:41 2010
@@ -13,7 +13,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 #######################################################################
 # CONSTRUCTORS

Modified: trunk/libverilog-perl/Getopt.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Getopt.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Getopt.pm (original)
+++ trunk/libverilog-perl/Getopt.pm Thu Aug 26 12:24:41 2010
@@ -16,7 +16,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 # Basenames we should ignore when recursing directories,
 # Because they contain large files of no relevance

Modified: trunk/libverilog-perl/Language.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Language.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Language.pm (original)
+++ trunk/libverilog-perl/Language.pm Thu Aug 26 12:24:41 2010
@@ -140,7 +140,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 #### Internal Variables

Modified: trunk/libverilog-perl/META.yml
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/META.yml?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/META.yml (original)
+++ trunk/libverilog-perl/META.yml Thu Aug 26 12:24:41 2010
@@ -1,6 +1,6 @@
 --- #YAML:1.0
 name:         Verilog-Perl
-version:      3.301
+version:      3.302
 version_from: Language.pm
 abstract:     Verilog language utilities and parsing
 license:      perl
@@ -15,7 +15,7 @@
     Data::Dumper:                  1
     warnings:                      1
 build_requires:
-    Digest::SHA1:                  0
+    Digest::SHA:                   0
     Test:                          1
     Test::More:                    0
     Time::HiRes:                   1

Modified: trunk/libverilog-perl/Makefile.PL
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Makefile.PL?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Makefile.PL (original)
+++ trunk/libverilog-perl/Makefile.PL Thu Aug 26 12:24:41 2010
@@ -101,7 +101,7 @@
 		  'warnings' => 1,
 	      },
 	      BUILD_REQUIRES => {
-		  'Digest::SHA1' => 0,
+		  'Digest::SHA' => 0,
 		  'Test' => 1,
 		  'Test::More' => 0,
 		  'Time::HiRes' => 1,

Modified: trunk/libverilog-perl/Netlist.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist.pm (original)
+++ trunk/libverilog-perl/Netlist.pm Thu Aug 26 12:24:41 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($Debug $Verbose $VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 #### Error Handling
@@ -647,7 +647,8 @@
 
 =item $self->verilog_text
 
-Returns verilog code which represents the netlist.
+Returns verilog code which represents the netlist.  The netlist must be
+already ->link'ed for this to work correctly.
 
 =back
 

Modified: trunk/libverilog-perl/Netlist/Cell.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Cell.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Cell.pm (original)
+++ trunk/libverilog-perl/Netlist/Cell.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Cell::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::Cell::Struct'

Modified: trunk/libverilog-perl/Netlist/ContAssign.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/ContAssign.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/ContAssign.pm (original)
+++ trunk/libverilog-perl/Netlist/ContAssign.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::ContAssign::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::ContAssign::Struct'

Modified: trunk/libverilog-perl/Netlist/Defparam.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Defparam.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Defparam.pm (original)
+++ trunk/libverilog-perl/Netlist/Defparam.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Defparam::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::Defparam::Struct'

Modified: trunk/libverilog-perl/Netlist/File.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/File.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/File.pm (original)
+++ trunk/libverilog-perl/Netlist/File.pm Thu Aug 26 12:24:41 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::File::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::File::Struct'

Modified: trunk/libverilog-perl/Netlist/Interface.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Interface.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Interface.pm (original)
+++ trunk/libverilog-perl/Netlist/Interface.pm Thu Aug 26 12:24:41 2010
@@ -14,7 +14,7 @@
 @ISA = qw(Verilog::Netlist::Interface::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::Interface::Struct'
@@ -384,7 +384,8 @@
 =item $self->verilog_text
 
 Returns verilog code which represents this interface.  Returned as an array
-that must be joined together to form the final text string.
+that must be joined together to form the final text string.  The netlist
+must be already ->link'ed for this to work correctly.
 
 =back
 

Modified: trunk/libverilog-perl/Netlist/ModPort.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/ModPort.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/ModPort.pm (original)
+++ trunk/libverilog-perl/Netlist/ModPort.pm Thu Aug 26 12:24:41 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::ModPort::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::ModPort::Struct'
@@ -264,7 +264,8 @@
 =item $self->verilog_text
 
 Returns verilog code which represents this modport.  Returned as an array
-that must be joined together to form the final text string.
+that must be joined together to form the final text string.  The netlist
+must be already ->link'ed for this to work correctly.
 
 =back
 

Modified: trunk/libverilog-perl/Netlist/Module.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Module.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Module.pm (original)
+++ trunk/libverilog-perl/Netlist/Module.pm Thu Aug 26 12:24:41 2010
@@ -17,7 +17,7 @@
 @ISA = qw(Verilog::Netlist::Module::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::Module::Struct'
@@ -495,7 +495,8 @@
 =item $self->verilog_text
 
 Returns verilog code which represents this module.  Returned as an array
-that must be joined together to form the final text string.
+that must be joined together to form the final text string.  The netlist
+must be already ->link'ed for this to work correctly.
 
 =back
 

Modified: trunk/libverilog-perl/Netlist/Net.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Net.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Net.pm (original)
+++ trunk/libverilog-perl/Netlist/Net.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Net::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 my %_Type_Widths = (
     'bit'	=> 1,

Modified: trunk/libverilog-perl/Netlist/Pin.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Pin.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Pin.pm (original)
+++ trunk/libverilog-perl/Netlist/Pin.pm Thu Aug 26 12:24:41 2010
@@ -16,7 +16,7 @@
 @ISA = qw(Verilog::Netlist::Pin::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('new',
 	'Verilog::Netlist::Pin::Struct'

Modified: trunk/libverilog-perl/Netlist/Port.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Port.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Port.pm (original)
+++ trunk/libverilog-perl/Netlist/Port.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Port::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 structs('_new_base',
 	'Verilog::Netlist::Port::Struct'

Modified: trunk/libverilog-perl/Netlist/Subclass.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Netlist/Subclass.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Netlist/Subclass.pm (original)
+++ trunk/libverilog-perl/Netlist/Subclass.pm Thu Aug 26 12:24:41 2010
@@ -12,7 +12,7 @@
 use vars qw($VERSION @EXPORT);
 use strict;
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 @EXPORT = qw(structs);
 
 # Maybe in the future.  For now all users of this must do it themselves

Modified: trunk/libverilog-perl/Parser/Parser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/Parser.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/Parser.pm (original)
+++ trunk/libverilog-perl/Parser/Parser.pm Thu Aug 26 12:24:41 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($VERSION $Debug);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 #$Debug sets the default value for debug.  You're better off with the object method though.
 

Modified: trunk/libverilog-perl/Parser/SigParser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/SigParser.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/SigParser.pm (original)
+++ trunk/libverilog-perl/Parser/SigParser.pm Thu Aug 26 12:24:41 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 our @_Callback_Names = qw(
   attribute

Modified: trunk/libverilog-perl/Parser/bisonpre
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/bisonpre?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/bisonpre (original)
+++ trunk/libverilog-perl/Parser/bisonpre Thu Aug 26 12:24:41 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 our $Self;
 

Modified: trunk/libverilog-perl/Parser/callbackgen
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/callbackgen?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/callbackgen (original)
+++ trunk/libverilog-perl/Parser/callbackgen Thu Aug 26 12:24:41 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 # xs_manual=>1,   -> The .xs file makes the handler itself
 

Modified: trunk/libverilog-perl/Parser/gen/bisonpre-0
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Parser/gen/bisonpre-0?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Parser/gen/bisonpre-0 (original)
+++ trunk/libverilog-perl/Parser/gen/bisonpre-0 Thu Aug 26 12:24:41 2010
@@ -1,1 +1,1 @@
-CCaoQd2XfFy5vlj7E5ppI9K8Vcg
+23k7Mu+VS+eVerim00nuAJWwcHE

Modified: trunk/libverilog-perl/Preproc/Preproc.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/Preproc.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/Preproc.pm (original)
+++ trunk/libverilog-perl/Preproc/Preproc.pm Thu Aug 26 12:24:41 2010
@@ -11,7 +11,7 @@
 use strict;
 use vars qw($VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 #### Configuration Section

Modified: trunk/libverilog-perl/Preproc/VPreProc.h
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/VPreProc.h?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/VPreProc.h (original)
+++ trunk/libverilog-perl/Preproc/VPreProc.h Thu Aug 26 12:24:41 2010
@@ -57,7 +57,7 @@
 
 public:
     // CONSTANTS
-    static const unsigned DEFINE_RECURSION_LEVEL_MAX = 50;	///< How many `def substitutions before an error
+    static const unsigned DEFINE_RECURSION_LEVEL_MAX = 1000;	///< How many `def substitutions before an error
     static const unsigned INCLUDE_DEPTH_MAX = 500;	///< How many `includes deep before an error
     static const unsigned NEWLINES_VS_TICKLINE = 20;	///< Use `line in place of this many newlines
 

Modified: trunk/libverilog-perl/Preproc/toolhash
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Preproc/toolhash?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Preproc/toolhash (original)
+++ trunk/libverilog-perl/Preproc/toolhash Thu Aug 26 12:24:41 2010
@@ -1,6 +1,6 @@
 #!/usr/bin/perl -w
 
-use Digest::SHA1;
+use Digest::SHA;
 use File::Copy qw(copy);  # Core module
 use IO::File;
 use strict;
@@ -36,7 +36,7 @@
 mkdir "gen", 0777;
 
 # Hash of command, including this program args
-my $digest = Digest::SHA1->new;
+my $digest = Digest::SHA->new(1);
 {
     my $str = 'toolhash_1.0';
     $str .= '----'.join('  ', at opt_in);

Modified: trunk/libverilog-perl/Std.pm
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/Std.pm?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/Std.pm (original)
+++ trunk/libverilog-perl/Std.pm Thu Aug 26 12:24:41 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 #######################################################################
 # ACCESSORS

Modified: trunk/libverilog-perl/debian/changelog
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/debian/changelog?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/debian/changelog (original)
+++ trunk/libverilog-perl/debian/changelog Thu Aug 26 12:24:41 2010
@@ -1,3 +1,10 @@
+libverilog-perl (3.302-1) UNRELEASED; urgency=low
+
+  * New upstream release
+  * Dropped digest-sha.diff patch, as it is applied upstream.
+
+ -- أحÙ
د الÙ
Ø­Ù
ودي (Ahmed El-Mahmoudy) <aelmahmoudy at sabily.org>  Thu, 26 Aug 2010 14:18:50 +0200
+
 libverilog-perl (3.301-1) unstable; urgency=low
 
   * New upstream release.

Modified: trunk/libverilog-perl/t/14_numbers.t
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/14_numbers.t?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/t/14_numbers.t (original)
+++ trunk/libverilog-perl/t/14_numbers.t Thu Aug 26 12:24:41 2010
@@ -30,7 +30,7 @@
 eval "use Bit::Vector";
 SKIP: {
     if ($@) {
-	skip("Bit::Vector not installed (harmless)",5);
+	skip("Bit::Vector not installed (harmless)",5*2);
     }
     try_bitvector("5823", 32, "000016bf");
     try_bitvector("80'h47cb_40d7_b50f_0147_1a85", 80, "47cb40d7b50f01471a85");
@@ -40,10 +40,10 @@
 }
 
 print "  Math::BigInt\n";
-eval "use Math::BigInt";
+eval "use Math::BigInts";
 SKIP: {
     if ($@) {
-	skip("Math::BigInt not installed (harmless)",5);
+	skip("Math::BigInt not installed (harmless)",5*2);
     }
     try_bigint("5823", 4, "0x16bf");
     try_bigint("80'h47cb_40d7_b50f_0147_1a85", 24, "0x47cb40d7b50f01471a85");

Modified: trunk/libverilog-perl/t/35_sigparser.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/35_sigparser.out?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/t/35_sigparser.out (original)
+++ trunk/libverilog-perl/t/35_sigparser.out Thu Aug 26 12:24:41 2010
@@ -475,6 +475,14 @@
 verilog/pinorder.v:043: VAR  'port' 'def' 'module' '' '[31:0]' '' ''
 verilog/pinorder.v:043: PORT  'def' 'module' 'input' '[31:0]' '' '0'
 verilog/pinorder.v:044: ENDMODULE  'endmodule'
+verilog/pinorder.v:046: MODULE  'module' 'bug278' undef '0'
+verilog/pinorder.v:048: VAR  'port' 'ow' 'module' 'wire' '' '' ''
+verilog/pinorder.v:048: PORT  'ow' 'module' 'output' '' '' '1'
+verilog/pinorder.v:049: VAR  'port' 'iow' 'module' 'wire' '' '' ''
+verilog/pinorder.v:049: PORT  'iow' 'module' 'inout' '' '' '2'
+verilog/pinorder.v:050: VAR  'port' 'iw' 'module' 'wire' '' '' ''
+verilog/pinorder.v:050: PORT  'iw' 'module' 'input' '' '' '3'
+verilog/pinorder.v:051: ENDMODULE  'endmodule'
 verilog/parser_sv.v:001: PACKAGE  'package' 'mypackage'
 verilog/parser_sv.v:002: VAR  'var' 'pkg_addr' 'package' '' 'bit [7:0]' '' ''
 verilog/parser_sv.v:003: VAR  'var' 'pkg_data' 'package' '' 'bit [7:0]' '' ''

Modified: trunk/libverilog-perl/t/42_dumpcheck_2.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/42_dumpcheck_2.out?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/t/42_dumpcheck_2.out (original)
+++ trunk/libverilog-perl/t/42_dumpcheck_2.out Thu Aug 26 12:24:41 2010
@@ -1,3 +1,10 @@
+Module:bug278  Kwd:module  File:verilog/pinorder.v
+  Port:iow  Dir:inout  DataT:  Array:
+  Port:iw  Dir:in  DataT:  Array:
+  Port:ow  Dir:out  DataT:  Array:
+  Net:iow    DeclT:port  NetT:wire  DataT:  Array:
+  Net:iw  O  DeclT:port  NetT:wire  DataT:  Array:
+  Net:ow  I  DeclT:port  NetT:wire  DataT:  Array:
 Module:foo  Kwd:module  File:verilog/pinorder.v
   Port:abcconst  Dir:in  DataT:[2:0]  Array:
   Port:def  Dir:in  DataT:[31:0]  Array:

Modified: trunk/libverilog-perl/t/42_dumpcheck_2e.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/42_dumpcheck_2e.out?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/t/42_dumpcheck_2e.out (original)
+++ trunk/libverilog-perl/t/42_dumpcheck_2e.out Thu Aug 26 12:24:41 2010
@@ -1,3 +1,10 @@
+module bug278 (
+   iow, iw, ow);
+   inout iow;
+   input iw;
+   output ow;
+endmodule
+
 module foo (
    abcconst, def, noconnect, x, y);
    input [2:0] abcconst;

Modified: trunk/libverilog-perl/t/42_dumpcheck_2v.out
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/t/42_dumpcheck_2v.out?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/t/42_dumpcheck_2v.out (original)
+++ trunk/libverilog-perl/t/42_dumpcheck_2v.out Thu Aug 26 12:24:41 2010
@@ -1,3 +1,10 @@
+module bug278 (
+   iow, iw, ow);
+   inout iow;
+   input iw;
+   output ow;
+endmodule
+
 module foo (
    abcconst, def, noconnect, x, y);
    input [2:0] abcconst;

Modified: trunk/libverilog-perl/verilog/pinorder.v
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/verilog/pinorder.v?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/verilog/pinorder.v (original)
+++ trunk/libverilog-perl/verilog/pinorder.v Thu Aug 26 12:24:41 2010
@@ -42,3 +42,10 @@
    input signed [3:0] noconnect;
    input [31:0] def;
 endmodule
+
+module bug278
+  (
+   output wire ow,
+   inout wire iow,
+   input wire iw);
+endmodule

Modified: trunk/libverilog-perl/vhier
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vhier?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/vhier (original)
+++ trunk/libverilog-perl/vhier Thu Aug 26 12:24:41 2010
@@ -17,7 +17,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 # main

Modified: trunk/libverilog-perl/vpassert
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vpassert?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/vpassert (original)
+++ trunk/libverilog-perl/vpassert Thu Aug 26 12:24:41 2010
@@ -36,7 +36,7 @@
 	     %Files %Files_Read
 	     %File_Dest
 	     );
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 # configuration

Modified: trunk/libverilog-perl/vppreproc
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vppreproc?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/vppreproc (original)
+++ trunk/libverilog-perl/vppreproc Thu Aug 26 12:24:41 2010
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 # main

Modified: trunk/libverilog-perl/vrename
URL: http://svn.debian.org/wsvn/pkg-perl/trunk/libverilog-perl/vrename?rev=62055&op=diff
==============================================================================
--- trunk/libverilog-perl/vrename (original)
+++ trunk/libverilog-perl/vrename Thu Aug 26 12:24:41 2010
@@ -23,7 +23,7 @@
 	     $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords
 	     @Files);
 
-$VERSION = '3.301';
+$VERSION = '3.302';
 
 ######################################################################
 




More information about the Pkg-perl-cvs-commits mailing list