r65669 - in /branches/upstream/libverilog-perl/current: ./ Netlist/ Parser/ Parser/gen/ Preproc/ t/ verilog/

aelmahmoudy-guest at users.alioth.debian.org aelmahmoudy-guest at users.alioth.debian.org
Thu Dec 9 16:09:55 UTC 2010


Author: aelmahmoudy-guest
Date: Thu Dec  9 16:06:28 2010
New Revision: 65669

URL: http://svn.debian.org/wsvn/pkg-perl/?sc=1&rev=65669
Log:
[svn-upgrade] new version libverilog-perl (3.305)

Added:
    branches/upstream/libverilog-perl/current/t/86_vhier_tick.t   (with props)
    branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick.v
    branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick_sub.v
Modified:
    branches/upstream/libverilog-perl/current/Changes
    branches/upstream/libverilog-perl/current/EditFiles.pm
    branches/upstream/libverilog-perl/current/Getopt.pm
    branches/upstream/libverilog-perl/current/Language.pm
    branches/upstream/libverilog-perl/current/MANIFEST
    branches/upstream/libverilog-perl/current/META.yml
    branches/upstream/libverilog-perl/current/Netlist.pm
    branches/upstream/libverilog-perl/current/Netlist/Cell.pm
    branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
    branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
    branches/upstream/libverilog-perl/current/Netlist/File.pm
    branches/upstream/libverilog-perl/current/Netlist/Interface.pm
    branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
    branches/upstream/libverilog-perl/current/Netlist/Module.pm
    branches/upstream/libverilog-perl/current/Netlist/Net.pm
    branches/upstream/libverilog-perl/current/Netlist/Pin.pm
    branches/upstream/libverilog-perl/current/Netlist/Port.pm
    branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
    branches/upstream/libverilog-perl/current/Parser/Parser.pm
    branches/upstream/libverilog-perl/current/Parser/SigParser.pm
    branches/upstream/libverilog-perl/current/Parser/bisonpre
    branches/upstream/libverilog-perl/current/Parser/callbackgen
    branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0
    branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
    branches/upstream/libverilog-perl/current/Std.pm
    branches/upstream/libverilog-perl/current/t/20_getopt.opt
    branches/upstream/libverilog-perl/current/t/20_getopt.t
    branches/upstream/libverilog-perl/current/vhier
    branches/upstream/libverilog-perl/current/vpassert
    branches/upstream/libverilog-perl/current/vppreproc
    branches/upstream/libverilog-perl/current/vrename

Modified: branches/upstream/libverilog-perl/current/Changes
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Changes?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Changes (original)
+++ branches/upstream/libverilog-perl/current/Changes Thu Dec  9 16:06:28 2010
@@ -3,7 +3,17 @@
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
 
+* Verilog::Language 3.305 2010/12/03
+
+***	Add -F option to read relative option files, bug297. [Neil Hamilton]
+
+***	Fix env var expansion from Getopt, bug298.  [John Dickol]
+
+****	Fix removing defines without ` when non-SystemC, bug300. [John Dickol]
+
 * Verilog::Language 3.304 2010/10/25
+
+****	Fix file_substitute expanding ~, msg382.  [Neil Hamilton]
 
 ****	Fix wrong filename on include file errors, bug289. [Brad Parker]
 

Modified: branches/upstream/libverilog-perl/current/EditFiles.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/EditFiles.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/EditFiles.pm (original)
+++ branches/upstream/libverilog-perl/current/EditFiles.pm Thu Dec  9 16:06:28 2010
@@ -13,7 +13,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 #######################################################################
 # CONSTRUCTORS

Modified: branches/upstream/libverilog-perl/current/Getopt.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Getopt.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Getopt.pm (original)
+++ branches/upstream/libverilog-perl/current/Getopt.pm Thu Dec  9 16:06:28 2010
@@ -16,7 +16,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 # Basenames we should ignore when recursing directories,
 # Because they contain large files of no relevance
@@ -58,11 +58,24 @@
 #######################################################################
 # Option parsing
 
+sub _filedir {
+    my $self = shift;
+    my $path = shift;
+    $path =~ s![/\\][^/\\]*$!!   # ~~== my @dirs = File::Spec->splitdir( $path );
+	or $path = ".";
+    return "." if $path eq '';
+    return $path
+}
+
 sub parameter_file {
     my $self = shift;
     my $filename = shift;
+    my $relative = shift;
 
     print "*parameter_file $filename\n" if $Debug;
+    my $optdir = ".";
+    if ($relative) { $optdir = $self->_filedir($filename); }
+
     my $fh = IO::File->new("<$filename") or die "%Error: ".$self->fileline().": $! $filename\n";
     my $hold_fileline = $self->fileline();
     while (my $line = $fh->getline()) {
@@ -71,7 +84,7 @@
 	next if $line =~ /^\s*$/;
 	$self->fileline ("$filename:$.");
 	my @p = (split /\s+/,"$line ");
-	$self->_parameter_parse(@p);
+	$self->_parameter_parse($optdir, @p);
     }
     $fh->close();
     $self->fileline($hold_fileline);
@@ -82,19 +95,21 @@
     # Parse VCS like parameters, and perform standard setup based on it
     # Return list of leftover parameters
     @{$self->{unparsed}} = ();
-    $self->_parameter_parse(@_);
+    $self->_parameter_parse('.', @_);
     return @{$self->{unparsed}};
 }
 
 sub _parameter_parse {
     my $self = shift;
+    my $optdir = shift;
     # Internal: Parse list of VCS like parameters, and perform standard setup based on it
     foreach my $param (@_) {
 	next if ($param =~ /^\s*$/);
 	print " parameter($param)\n" if $Debug;
 
 	### GCC & VCS style
-	if ($param eq '-f') {
+	if ($param eq '-F'
+	    || $param eq '-f') {
 	    $self->{_parameter_next} = $param;
 	}
 
@@ -110,7 +125,7 @@
 	    }
 	}
 	elsif ($param =~ /^\+incdir\+(.*)$/ && $self->{vcs_style}) {
-	    $self->incdir($1);
+	    $self->incdir($self->_parse_file_arg($optdir, $1));
 	}
 	elsif (($param =~ /^\+define\+([^+=]*)[+=](.*)$/
 		|| $param =~ /^\+define\+(.*?)()$/) && $self->{vcs_style}) {
@@ -129,21 +144,24 @@
 	    $self->undef($1);
 	}
 	elsif ($param =~ /^-I(.*)$/ && $self->{gcc_style}) {
-	    $self->incdir($1);
+	    $self->incdir($self->_parse_file_arg($optdir, $1));
 	}
 
 	# Second parameters
 	elsif ($self->{_parameter_next}) {
 	    my $pn = $self->{_parameter_next};
 	    $self->{_parameter_next} = undef;
-	    if ($pn eq '-f') {
-		$self->parameter_file ($self->file_substitute($param));
+	    if ($pn eq '-F') {
+		$self->parameter_file ($self->_parse_file_arg($optdir,$param), 1);
+	    }
+	    elsif ($pn eq '-f') {
+		$self->parameter_file ($self->_parse_file_arg($optdir,$param), 0);
 	    }
 	    elsif ($pn eq '-v') {
-		$self->library ($param);
+		$self->library ($self->_parse_file_arg($optdir,$param));
 	    }
 	    elsif ($pn eq '-y') {
-		$self->module_dir ($param);
+		$self->module_dir ($self->_parse_file_arg($optdir,$param));
 	    }
 	    else {
 		die "%Error: ".$self->fileline().": Bad internal next param ".$pn;
@@ -151,9 +169,21 @@
 	}
 
 	else { # Unknown
-	    push @{$self->{unparsed}}, $param;
-	}
-    }
+	    push @{$self->{unparsed}}, "$param"; # Must quote to convert Getopt to string, bug298
+	}
+    }
+}
+
+sub _parse_file_arg {
+    my $self = shift;
+    my $optdir = shift;
+    my $relfilename = shift;
+    # Parse filename on option line, expanding relative paths in -F's
+    my $filename = $self->file_substitute($relfilename);
+    if ($optdir ne "." && ! File::Spec->file_name_is_absolute($filename)) {
+	$filename = File::Spec->catfile($optdir,$filename);
+    }
+    return $filename;
 }
 
 #######################################################################
@@ -333,7 +363,7 @@
 	    $out =~ s/\$$var\b/$ENV{$var}/g;
 	}
     }
-    $filename =~ s!^~!$ENV{HOME}/!;
+    $out =~ s!^~!$ENV{HOME}/!;
     return $out;
 }
 
@@ -598,6 +628,7 @@
     +define+I<var>[+=]I<value>	define (I<var>,I<value>)
     +define+I<var>		define (I<var>,undef)
     +librescan		Ignored
+    -F I<file>		Parse parameters in file relatively
     -f I<file>		Parse parameters in file
     -v I<file>		library (I<file>)
     -y I<dir>		module_dir (I<dir>)
@@ -610,6 +641,7 @@
     -DI<var>		define (I<var>,undef)
     -UI<var>		undefine (I<var>)
     -II<dir>		incdir (I<dir>)
+    -F I<file>		Parse parameters in file relatively
     -f I<file>		Parse parameters in file
     all others		Put in returned list
 

Modified: branches/upstream/libverilog-perl/current/Language.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Language.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Language.pm (original)
+++ branches/upstream/libverilog-perl/current/Language.pm Thu Dec  9 16:06:28 2010
@@ -150,7 +150,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 #### Internal Variables

Modified: branches/upstream/libverilog-perl/current/MANIFEST
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/MANIFEST?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/MANIFEST (original)
+++ branches/upstream/libverilog-perl/current/MANIFEST Thu Dec  9 16:06:28 2010
@@ -125,6 +125,7 @@
 t/85_vhier_modfiles.out
 t/85_vhier_resolvefiles.out
 t/85_vhier_topmodule.out
+t/86_vhier_tick.t
 t/test_utils.pl
 Verilog-Perl.pod
 verilog/example.v
@@ -138,6 +139,8 @@
 verilog/parser_sv09.v
 verilog/pinorder.v
 verilog/pli.v
+verilog/t_86_vhier_tick.v
+verilog/t_86_vhier_tick_sub.v
 verilog/t_preproc_inc3.vh
 verilog/t_preproc_inc4.vh
 verilog/test.v

Modified: branches/upstream/libverilog-perl/current/META.yml
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/META.yml?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/META.yml (original)
+++ branches/upstream/libverilog-perl/current/META.yml Thu Dec  9 16:06:28 2010
@@ -1,6 +1,6 @@
 --- #YAML:1.0
 name:         Verilog-Perl
-version:      3.304
+version:      3.305
 version_from: Language.pm
 abstract:     Verilog language utilities and parsing
 license:      perl

Modified: branches/upstream/libverilog-perl/current/Netlist.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist.pm Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($Debug $Verbose $VERSION);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 #### Error Handling
@@ -38,6 +38,7 @@
 		options => undef,	# Usually pointer to Verilog::Getopt
 		symbol_table => [],	# Symbol table for Verilog::Parser
  		preproc => 'Verilog::Preproc',
+		remove_defines_without_tick => 0,   # Overriden in SystemC::Netlist
 		#include_open_nonfatal => 0,
 		#keep_comments => 0,
 		use_vars => 1,
@@ -151,11 +152,14 @@
     my $self = shift;
     my $sym = shift;
     # This function is HOT
+    my $xsym = $sym;
     # We only remove defines one level deep, for historical reasons.
-    # We don't require a ` as SystemC also uses this function and doesn't use `.
-    (my $xsym = $sym) =~ s/^\`//;
-    my $val = $self->defvalue_nowarn($xsym);  #Undef if not found
-    $sym = $val if defined $val;
+    # We optionally don't require a ` as SystemC also uses this function and doesn't use `.
+    if ($self->{remove_defines_without_tick} || $xsym =~ /^\`/) {
+	$xsym =~ s/^\`//;
+	my $val = $self->defvalue_nowarn($xsym);  #Undef if not found
+	return $val if defined $val;
+    }
     return $sym;
 }
 

Modified: branches/upstream/libverilog-perl/current/Netlist/Cell.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Cell.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Cell.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Cell.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Cell::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::Cell::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::ContAssign::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::ContAssign::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Defparam.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Defparam.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Defparam.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Defparam::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::Defparam::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/File.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/File.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/File.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/File.pm Thu Dec  9 16:06:28 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::File::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::File::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Interface.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Interface.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Interface.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Interface.pm Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 @ISA = qw(Verilog::Netlist::Interface::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::Interface::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ModPort.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ModPort.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ModPort.pm Thu Dec  9 16:06:28 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::ModPort::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::ModPort::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Module.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Module.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Module.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Module.pm Thu Dec  9 16:06:28 2010
@@ -17,7 +17,7 @@
 @ISA = qw(Verilog::Netlist::Module::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::Module::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Net.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Net.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Net.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Net.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Net::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 my %_Type_Widths = (
     'bit'	=> 1,

Modified: branches/upstream/libverilog-perl/current/Netlist/Pin.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Pin.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Pin.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Pin.pm Thu Dec  9 16:06:28 2010
@@ -16,7 +16,7 @@
 @ISA = qw(Verilog::Netlist::Pin::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('new',
 	'Verilog::Netlist::Pin::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Port.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Port.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Port.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Port.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Port::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 structs('_new_base',
 	'Verilog::Netlist::Port::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Subclass.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Subclass.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Subclass.pm Thu Dec  9 16:06:28 2010
@@ -12,7 +12,7 @@
 use vars qw($VERSION @EXPORT);
 use strict;
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 @EXPORT = qw(structs);
 
 # Maybe in the future.  For now all users of this must do it themselves

Modified: branches/upstream/libverilog-perl/current/Parser/Parser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/Parser.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/Parser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/Parser.pm Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($VERSION $Debug);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 #$Debug sets the default value for debug.  You're better off with the object method though.
 

Modified: branches/upstream/libverilog-perl/current/Parser/SigParser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/SigParser.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/SigParser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/SigParser.pm Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 our @_Callback_Names = qw(
   attribute

Modified: branches/upstream/libverilog-perl/current/Parser/bisonpre
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/bisonpre?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/bisonpre (original)
+++ branches/upstream/libverilog-perl/current/Parser/bisonpre Thu Dec  9 16:06:28 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 our $Self;
 

Modified: branches/upstream/libverilog-perl/current/Parser/callbackgen
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/callbackgen?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/callbackgen (original)
+++ branches/upstream/libverilog-perl/current/Parser/callbackgen Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 require "../Language.pm";
 package main;
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 # xs_manual=>1,   -> The .xs file makes the handler itself
 

Modified: branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0 (original)
+++ branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0 Thu Dec  9 16:06:28 2010
@@ -1,1 +1,1 @@
-l3fhQG9RhWKa3Uk3adPA8Rk3GqA
+GkbPicSd22IZJcuv/FNS/0jEiGc

Modified: branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Preproc/Preproc.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Preproc/Preproc.pm (original)
+++ branches/upstream/libverilog-perl/current/Preproc/Preproc.pm Thu Dec  9 16:06:28 2010
@@ -11,7 +11,7 @@
 use strict;
 use vars qw($VERSION);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 #### Configuration Section

Modified: branches/upstream/libverilog-perl/current/Std.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Std.pm?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Std.pm (original)
+++ branches/upstream/libverilog-perl/current/Std.pm Thu Dec  9 16:06:28 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 #######################################################################
 # ACCESSORS

Modified: branches/upstream/libverilog-perl/current/t/20_getopt.opt
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/20_getopt.opt?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/20_getopt.opt (original)
+++ branches/upstream/libverilog-perl/current/t/20_getopt.opt Thu Dec  9 16:06:28 2010
@@ -1,3 +1,4 @@
 // DESCRIPTION: Perl ExtUtils: Option file for testing Verilog::Getopt
 
 -Dread_opt_file=1
+-y y_library_path

Modified: branches/upstream/libverilog-perl/current/t/20_getopt.t
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/20_getopt.t?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/20_getopt.t (original)
+++ branches/upstream/libverilog-perl/current/t/20_getopt.t Thu Dec  9 16:06:28 2010
@@ -32,6 +32,7 @@
 		 -Dbaz=bar
 		 -Iincdir2
 		 -f $DOT/t/20_getopt.opt
+		 -F $DOT/t/20_getopt.opt
 		 passthru
 		 );
 
@@ -48,29 +49,31 @@
 
 my @out = $opt->get_parameters();
 print "OUT: ",(join(" ", at out)),"\n";
-is ($#out, 13);
+is ($#out, 17);
 
 {
     my $opt2 = new Verilog::Getopt ();
     my @left2 = $opt2->parameter(@out);
-    print join(" ", at left2),"\n";
+    print "LEFT: ",join(" ", at left2),"\n";
     my @out2 = $opt->get_parameters();
-    print join(" ", at out2),"\n";
-    is ($#out2, 13);
+    print "LEFT: ",join(" ", at out2),"\n";
+    is_deeply(\@out2, [qw(+define+baz=bar +define+foo=bar +define+foo2 +define+read_opt_file=1
+ 			  +libext+.v+t +incdir+. +incdir+t +incdir+incdir2
+ 			  -y . -y moddir -y y_library_path -y t/y_library_path -v libdir)]);
 }
 
 {
     my $opt2 = new Verilog::Getopt (gcc_style=>1, vcs_style=>0);
     my @left2 = $opt2->parameter(@param);
     print "LEFT: ",join(" ", at left2),"\n";
-    is ($#left2, 8);
+    is_deeply(\@left2, [qw(+libext+t +incdir+t +define+foo=bar +define+foo2 -v libdir -y moddir -y y_library_path -y y_library_path passthru)]);
 }
 
 {
     my $opt2 = new Verilog::Getopt (gcc_style=>0, vcs_style=>1);
     my @left2 = $opt2->parameter(@param);
     print "LEFT: ",join(" ", at left2),"\n";
-    is ($#left2, 3);
+    is_deeply(\@left2, [qw(-Dbaz=bar -Iincdir2 -Dread_opt_file=1 -Dread_opt_file=1 passthru)]);
 }
 
 $opt->map_directories(sub{s![a-z]!x!; $_});

Added: branches/upstream/libverilog-perl/current/t/86_vhier_tick.t
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/86_vhier_tick.t?rev=65669&op=file
==============================================================================
--- branches/upstream/libverilog-perl/current/t/86_vhier_tick.t (added)
+++ branches/upstream/libverilog-perl/current/t/86_vhier_tick.t Thu Dec  9 16:06:28 2010
@@ -1,0 +1,19 @@
+#!/usr/bin/perl -w
+# DESCRIPTION: Perl ExtUtils: Type 'make test' to test this package
+#
+# Copyright 2000-2010 by Wilson Snyder.  This program is free software;
+# you can redistribute it and/or modify it under the terms of either the GNU
+# Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.
+
+use IO::File;
+use strict;
+use Test::More;
+
+BEGIN { plan tests => 2 }
+BEGIN { require "t/test_utils.pl"; }
+
+# bug300
+my $cmd = "${PERL} ./vhier --input-files -y verilog t_86_vhier_tick.v";
+my $out = `$cmd`;
+is($?,0,$cmd);
+like($out, qr//, $cmd);

Propchange: branches/upstream/libverilog-perl/current/t/86_vhier_tick.t
------------------------------------------------------------------------------
    svn:executable = *

Added: branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick.v
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick.v?rev=65669&op=file
==============================================================================
--- branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick.v (added)
+++ branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick.v Thu Dec  9 16:06:28 2010
@@ -1,0 +1,10 @@
+// DESCRIPTION: Verilog::Preproc: Example source code
+// This file ONLY is placed into the Public Domain, for any use,
+// without warranty, 2000-2010 by Wilson Snyder.
+
+module t_86_vhier_tick;
+
+   `define t_86_vhier_tick_sub FOOBAR_NOT_FOUND
+   t_86_vhier_tick_sub sub ();
+
+endmodule

Added: branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick_sub.v
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick_sub.v?rev=65669&op=file
==============================================================================
--- branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick_sub.v (added)
+++ branches/upstream/libverilog-perl/current/verilog/t_86_vhier_tick_sub.v Thu Dec  9 16:06:28 2010
@@ -1,0 +1,6 @@
+// DESCRIPTION: Verilog::Preproc: Example source code
+// This file ONLY is placed into the Public Domain, for any use,
+// without warranty, 2000-2010 by Wilson Snyder.
+
+module t_86_vhier_tick_sub;
+endmodule

Modified: branches/upstream/libverilog-perl/current/vhier
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vhier?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vhier (original)
+++ branches/upstream/libverilog-perl/current/vhier Thu Dec  9 16:06:28 2010
@@ -17,7 +17,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 # main
@@ -95,7 +95,7 @@
     if ($param =~ /^--?/) {
 	die "%Error: vhier: Unknown parameter: $param\n";
     } else {
-	push @opt_files, $param;
+	push @opt_files, "$param"; # Must quote to convert Getopt to string, bug298
     }
 }
 
@@ -308,10 +308,19 @@
 
 Defines the given preprocessor symbol.
 
+=item -F I<file>
+
+Read the specified file, and act as if all text inside it was specified as
+command line parameters.  Any relative paths are relative to the directory
+containing the specified file.  Unlike some tools, -y, +incdir, and -v are
+treated as relative, but standalone filenames in the specified file
+("foo.v") are not.  Use use "-y ." to work around this.
+
 =item -f I<file>
 
-Read the specified file, and act as if all text inside it was
-specified as command line parameters.
+Read the specified file, and act as if all text inside it was specified as
+command line parameters.  Any relative paths are relative to the current
+directory.
 
 =item +incdir+I<dir>
 =item -II<dir>

Modified: branches/upstream/libverilog-perl/current/vpassert
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vpassert?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vpassert (original)
+++ branches/upstream/libverilog-perl/current/vpassert Thu Dec  9 16:06:28 2010
@@ -36,7 +36,7 @@
 	     %Files %Files_Read
 	     %File_Dest
 	     );
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 # configuration
@@ -200,7 +200,7 @@
 sub parameter {
     my $param = shift;
     (-r $param) or die "%Error: Can't open $param";
-    push @files, $param;
+    push @files, "$param"; # Must quote to convert Getopt to string, bug298
 }
 
 ######################################################################

Modified: branches/upstream/libverilog-perl/current/vppreproc
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vppreproc?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vppreproc (original)
+++ branches/upstream/libverilog-perl/current/vppreproc Thu Dec  9 16:06:28 2010
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 # main
@@ -107,7 +107,7 @@
     if ($param =~ /^--?/) {
 	die "%Error: vppreproc: Unknown parameter: $param\n";
     } else {
-	push @opt_files, $param;
+	push @opt_files, "$param"; # Must quote to convert Getopt to string, bug298
     }
 }
 

Modified: branches/upstream/libverilog-perl/current/vrename
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vrename?rev=65669&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vrename (original)
+++ branches/upstream/libverilog-perl/current/vrename Thu Dec  9 16:06:28 2010
@@ -23,7 +23,7 @@
 	     $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords
 	     @Files);
 
-$VERSION = '3.304';
+$VERSION = '3.305';
 
 ######################################################################
 
@@ -143,7 +143,7 @@
 
 sub parameter {
     my $param = shift;
-    push @Files, $param;
+    push @Files, "$param"; # Must quote to convert Getopt to string, bug298
     (-r $param) or die "%Error: Can't open $param";
 }
 




More information about the Pkg-perl-cvs-commits mailing list