r64433 - in /branches/upstream/libverilog-perl/current: ./ Netlist/ Parser/ Parser/gen/ Preproc/ t/

aelmahmoudy-guest at users.alioth.debian.org aelmahmoudy-guest at users.alioth.debian.org
Sat Oct 30 16:07:00 UTC 2010


Author: aelmahmoudy-guest
Date: Sat Oct 30 16:04:00 2010
New Revision: 64433

URL: http://svn.debian.org/wsvn/pkg-perl/?sc=1&rev=64433
Log:
[svn-upgrade] new version libverilog-perl (3.304)

Modified:
    branches/upstream/libverilog-perl/current/Changes
    branches/upstream/libverilog-perl/current/EditFiles.pm
    branches/upstream/libverilog-perl/current/Getopt.pm
    branches/upstream/libverilog-perl/current/Language.pm
    branches/upstream/libverilog-perl/current/META.yml
    branches/upstream/libverilog-perl/current/Netlist.pm
    branches/upstream/libverilog-perl/current/Netlist/Cell.pm
    branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
    branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
    branches/upstream/libverilog-perl/current/Netlist/File.pm
    branches/upstream/libverilog-perl/current/Netlist/Interface.pm
    branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
    branches/upstream/libverilog-perl/current/Netlist/Module.pm
    branches/upstream/libverilog-perl/current/Netlist/Net.pm
    branches/upstream/libverilog-perl/current/Netlist/Pin.pm
    branches/upstream/libverilog-perl/current/Netlist/Port.pm
    branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
    branches/upstream/libverilog-perl/current/Parser/Parser.pm
    branches/upstream/libverilog-perl/current/Parser/SigParser.pm
    branches/upstream/libverilog-perl/current/Parser/bisonpre
    branches/upstream/libverilog-perl/current/Parser/callbackgen
    branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0
    branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
    branches/upstream/libverilog-perl/current/Preproc/VPreProc.cpp
    branches/upstream/libverilog-perl/current/Std.pm
    branches/upstream/libverilog-perl/current/t/30_preproc_nows.out
    branches/upstream/libverilog-perl/current/t/30_preproc_on.out
    branches/upstream/libverilog-perl/current/t/30_preproc_sub.out
    branches/upstream/libverilog-perl/current/vhier
    branches/upstream/libverilog-perl/current/vpassert
    branches/upstream/libverilog-perl/current/vppreproc
    branches/upstream/libverilog-perl/current/vrename

Modified: branches/upstream/libverilog-perl/current/Changes
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Changes?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Changes (original)
+++ branches/upstream/libverilog-perl/current/Changes Sat Oct 30 16:04:00 2010
@@ -3,7 +3,11 @@
 The contributors that suggested a given feature are shown in [].  [by ...]
 indicates the contributor was also the author of the fix; Thanks!
 
-* Verilog::Language 3.30**
+* Verilog::Language 3.304 2010/10/25
+
+****	Fix wrong filename on include file errors, bug289. [Brad Parker]
+
+* Verilog::Language 3.303 2010/09/20
 
 ***	Add vrename --changelang option, to upgrade keywords. [Dan Moore]
 

Modified: branches/upstream/libverilog-perl/current/EditFiles.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/EditFiles.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/EditFiles.pm (original)
+++ branches/upstream/libverilog-perl/current/EditFiles.pm Sat Oct 30 16:04:00 2010
@@ -13,7 +13,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 #######################################################################
 # CONSTRUCTORS

Modified: branches/upstream/libverilog-perl/current/Getopt.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Getopt.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Getopt.pm (original)
+++ branches/upstream/libverilog-perl/current/Getopt.pm Sat Oct 30 16:04:00 2010
@@ -16,7 +16,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 # Basenames we should ignore when recursing directories,
 # Because they contain large files of no relevance

Modified: branches/upstream/libverilog-perl/current/Language.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Language.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Language.pm (original)
+++ branches/upstream/libverilog-perl/current/Language.pm Sat Oct 30 16:04:00 2010
@@ -150,7 +150,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 #### Internal Variables

Modified: branches/upstream/libverilog-perl/current/META.yml
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/META.yml?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/META.yml (original)
+++ branches/upstream/libverilog-perl/current/META.yml Sat Oct 30 16:04:00 2010
@@ -1,6 +1,6 @@
 --- #YAML:1.0
 name:         Verilog-Perl
-version:      3.303
+version:      3.304
 version_from: Language.pm
 abstract:     Verilog language utilities and parsing
 license:      perl

Modified: branches/upstream/libverilog-perl/current/Netlist.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist.pm Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($Debug $Verbose $VERSION);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 #### Error Handling

Modified: branches/upstream/libverilog-perl/current/Netlist/Cell.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Cell.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Cell.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Cell.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Cell::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::Cell::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ContAssign.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::ContAssign::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::ContAssign::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Defparam.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Defparam.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Defparam.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Defparam.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Defparam::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::Defparam::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/File.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/File.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/File.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/File.pm Sat Oct 30 16:04:00 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::File::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::File::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Interface.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Interface.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Interface.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Interface.pm Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 @ISA = qw(Verilog::Netlist::Interface::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::Interface::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/ModPort.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/ModPort.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/ModPort.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/ModPort.pm Sat Oct 30 16:04:00 2010
@@ -12,7 +12,7 @@
 @ISA = qw(Verilog::Netlist::ModPort::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::ModPort::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Module.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Module.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Module.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Module.pm Sat Oct 30 16:04:00 2010
@@ -17,7 +17,7 @@
 @ISA = qw(Verilog::Netlist::Module::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::Module::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Net.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Net.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Net.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Net.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Net::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 my %_Type_Widths = (
     'bit'	=> 1,

Modified: branches/upstream/libverilog-perl/current/Netlist/Pin.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Pin.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Pin.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Pin.pm Sat Oct 30 16:04:00 2010
@@ -16,7 +16,7 @@
 @ISA = qw(Verilog::Netlist::Pin::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('new',
 	'Verilog::Netlist::Pin::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Port.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Port.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Port.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Port.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 @ISA = qw(Verilog::Netlist::Port::Struct
 	Verilog::Netlist::Subclass);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 structs('_new_base',
 	'Verilog::Netlist::Port::Struct'

Modified: branches/upstream/libverilog-perl/current/Netlist/Subclass.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Netlist/Subclass.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Netlist/Subclass.pm (original)
+++ branches/upstream/libverilog-perl/current/Netlist/Subclass.pm Sat Oct 30 16:04:00 2010
@@ -12,7 +12,7 @@
 use vars qw($VERSION @EXPORT);
 use strict;
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 @EXPORT = qw(structs);
 
 # Maybe in the future.  For now all users of this must do it themselves

Modified: branches/upstream/libverilog-perl/current/Parser/Parser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/Parser.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/Parser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/Parser.pm Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 use strict;
 use vars qw($VERSION $Debug);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 #$Debug sets the default value for debug.  You're better off with the object method though.
 

Modified: branches/upstream/libverilog-perl/current/Parser/SigParser.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/SigParser.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/SigParser.pm (original)
+++ branches/upstream/libverilog-perl/current/Parser/SigParser.pm Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 our @_Callback_Names = qw(
   attribute

Modified: branches/upstream/libverilog-perl/current/Parser/bisonpre
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/bisonpre?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/bisonpre (original)
+++ branches/upstream/libverilog-perl/current/Parser/bisonpre Sat Oct 30 16:04:00 2010
@@ -9,7 +9,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 our $Self;
 

Modified: branches/upstream/libverilog-perl/current/Parser/callbackgen
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/callbackgen?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/callbackgen (original)
+++ branches/upstream/libverilog-perl/current/Parser/callbackgen Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 require "../Language.pm";
 package main;
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 # xs_manual=>1,   -> The .xs file makes the handler itself
 

Modified: branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0 (original)
+++ branches/upstream/libverilog-perl/current/Parser/gen/bisonpre-0 Sat Oct 30 16:04:00 2010
@@ -1,1 +1,1 @@
-IFgb8b4ZUWTVb+0ST1jkcgL2zOI
+l3fhQG9RhWKa3Uk3adPA8Rk3GqA

Modified: branches/upstream/libverilog-perl/current/Preproc/Preproc.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Preproc/Preproc.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Preproc/Preproc.pm (original)
+++ branches/upstream/libverilog-perl/current/Preproc/Preproc.pm Sat Oct 30 16:04:00 2010
@@ -11,7 +11,7 @@
 use strict;
 use vars qw($VERSION);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 #### Configuration Section

Modified: branches/upstream/libverilog-perl/current/Preproc/VPreProc.cpp
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Preproc/VPreProc.cpp?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Preproc/VPreProc.cpp (original)
+++ branches/upstream/libverilog-perl/current/Preproc/VPreProc.cpp Sat Oct 30 16:04:00 2010
@@ -1205,7 +1205,7 @@
 		if (debug()>=5) fprintf(stderr,"%d: FIN: readjust, fin at %d  request at %d\n",
 					m_lexp->m_tokFilelinep->lineno(),
 					m_finFilelinep->lineno(), m_lexp->m_tokFilelinep->lineno());
-		m_finFilelinep = m_finFilelinep->create(m_lexp->m_tokFilelinep->lineno());
+		m_finFilelinep = m_finFilelinep->create(m_lexp->m_tokFilelinep->filename(),m_lexp->m_tokFilelinep->lineno());
 		if (outBehind > 0 && outBehind <= (int)VPreProc::NEWLINES_VS_TICKLINE) {
 		    // Output stream is behind, send newlines to get back in sync
 		    // (Most likely because we're completing a disabled `endif)

Modified: branches/upstream/libverilog-perl/current/Std.pm
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/Std.pm?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/Std.pm (original)
+++ branches/upstream/libverilog-perl/current/Std.pm Sat Oct 30 16:04:00 2010
@@ -14,7 +14,7 @@
 ######################################################################
 #### Configuration Section
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 #######################################################################
 # ACCESSORS

Modified: branches/upstream/libverilog-perl/current/t/30_preproc_nows.out
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/30_preproc_nows.out?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/30_preproc_nows.out (original)
+++ branches/upstream/libverilog-perl/current/t/30_preproc_nows.out Sat Oct 30 16:04:00 2010
@@ -148,7 +148,7 @@
 verilog/inc1.v:168: begin addr <= ({regs[6], regs[7]}); wdata <= (rdata); wr <= 1; end more
 verilog/inc1.v:173: `line 173 "verilog/inc1.v" 0
 verilog/inc1.v:173: `line 1 "verilog/t_preproc_inc4.vh" 1
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:7: `line 7 "verilog/t_preproc_inc4.vh" 2
 verilog/inc1.v:173: `line 173 "verilog/inc1.v" 0
 verilog/inc1.v:187: $blah("ab,cd","e,f");
@@ -233,7 +233,7 @@
 verilog/inc1.v:376: hello4hello4hello4hello4
 verilog/inc1.v:381: `line 381 "verilog/inc1.v" 0
 verilog/inc1.v:381: `line 1 "verilog/t_preproc_inc4.vh" 1
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:7: `line 7 "verilog/t_preproc_inc4.vh" 2
 verilog/inc1.v:381: `line 381 "verilog/inc1.v" 0
 verilog/inc1.v:390: `line 390 "verilog/inc1.v" 0

Modified: branches/upstream/libverilog-perl/current/t/30_preproc_on.out
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/30_preproc_on.out?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/30_preproc_on.out (original)
+++ branches/upstream/libverilog-perl/current/t/30_preproc_on.out Sat Oct 30 16:04:00 2010
@@ -371,7 +371,7 @@
 verilog/inc1.v:173: `line 173 "verilog/inc1.v" 0
 verilog/inc1.v:173: `line 1 "verilog/t_preproc_inc4.vh" 1
 verilog/t_preproc_inc4.vh:1: // DESCRIPTION: Verilog::Preproc: Example source code
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:2: // This file ONLY is placed into the Public Domain, for any use,
 verilog/t_preproc_inc4.vh:3: // without warranty, 2000-2010 by Wilson Snyder.
 verilog/t_preproc_inc4.vh:4: 
@@ -652,7 +652,7 @@
 verilog/inc1.v:381: `line 381 "verilog/inc1.v" 0
 verilog/inc1.v:381: `line 1 "verilog/t_preproc_inc4.vh" 1
 verilog/t_preproc_inc4.vh:1: // DESCRIPTION: Verilog::Preproc: Example source code
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:2: // This file ONLY is placed into the Public Domain, for any use,
 verilog/t_preproc_inc4.vh:3: // without warranty, 2000-2010 by Wilson Snyder.
 verilog/t_preproc_inc4.vh:4: 

Modified: branches/upstream/libverilog-perl/current/t/30_preproc_sub.out
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/t/30_preproc_sub.out?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/t/30_preproc_sub.out (original)
+++ branches/upstream/libverilog-perl/current/t/30_preproc_sub.out Sat Oct 30 16:04:00 2010
@@ -439,7 +439,7 @@
 COMMENT: // DESCRIPTION: Verilog::Preproc: Example source code
 verilog/t_preproc_inc4.vh:1:  /*CMT*/ 
 COMMENT: // This file ONLY is placed into the Public Domain, for any use,
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:2:  /*CMT*/ 
 COMMENT: // without warranty, 2000-2010 by Wilson Snyder.
 verilog/t_preproc_inc4.vh:3:  /*CMT*/ 
@@ -787,7 +787,7 @@
 COMMENT: // DESCRIPTION: Verilog::Preproc: Example source code
 verilog/t_preproc_inc4.vh:1:  /*CMT*/ 
 COMMENT: // This file ONLY is placed into the Public Domain, for any use,
-verilog/t_preproc_inc4.vh:2: `line 2 "verilog/inc1.v" 0
+verilog/t_preproc_inc4.vh:2: `line 2 "verilog/t_preproc_inc4.vh" 0
 verilog/t_preproc_inc4.vh:2:  /*CMT*/ 
 COMMENT: // without warranty, 2000-2010 by Wilson Snyder.
 verilog/t_preproc_inc4.vh:3:  /*CMT*/ 

Modified: branches/upstream/libverilog-perl/current/vhier
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vhier?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vhier (original)
+++ branches/upstream/libverilog-perl/current/vhier Sat Oct 30 16:04:00 2010
@@ -17,7 +17,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 # main

Modified: branches/upstream/libverilog-perl/current/vpassert
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vpassert?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vpassert (original)
+++ branches/upstream/libverilog-perl/current/vpassert Sat Oct 30 16:04:00 2010
@@ -36,7 +36,7 @@
 	     %Files %Files_Read
 	     %File_Dest
 	     );
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 # configuration

Modified: branches/upstream/libverilog-perl/current/vppreproc
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vppreproc?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vppreproc (original)
+++ branches/upstream/libverilog-perl/current/vppreproc Sat Oct 30 16:04:00 2010
@@ -16,7 +16,7 @@
 use strict;
 use vars qw ($Debug $VERSION);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 # main

Modified: branches/upstream/libverilog-perl/current/vrename
URL: http://svn.debian.org/wsvn/pkg-perl/branches/upstream/libverilog-perl/current/vrename?rev=64433&op=diff
==============================================================================
--- branches/upstream/libverilog-perl/current/vrename (original)
+++ branches/upstream/libverilog-perl/current/vrename Sat Oct 30 16:04:00 2010
@@ -23,7 +23,7 @@
 	     $Debug $Opt_Xref $Opt_Crypt $Opt_Crypt_All $Opt_Write $Opt_Keywords
 	     @Files);
 
-$VERSION = '3.303';
+$VERSION = '3.304';
 
 ######################################################################
 




More information about the Pkg-perl-cvs-commits mailing list