[yosys] 02/04: Merge tag 'upstream/0.4.0+20150201git3cbfa38'

Ruben Undheim rubund-guest at moszumanska.debian.org
Sun Feb 1 20:38:57 UTC 2015


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository yosys.

commit 9a316a6bb261191dd794f9e734f57fc6089bfe6a
Merge: f411628 3cbfa38
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Sun Feb 1 21:30:31 2015 +0100

    Merge tag 'upstream/0.4.0+20150201git3cbfa38'

 .gitignore                                         |    1 +
 CHANGELOG                                          |    8 +-
 CodingReadme                                       |  153 ++-
 Makefile                                           |   82 +-
 README                                             |   46 +-
 backends/blif/blif.cc                              |   99 +-
 backends/btor/btor.cc                              |    4 +-
 backends/edif/edif.cc                              |   21 +-
 backends/ilang/ilang_backend.cc                    |  110 +-
 backends/smt2/.gitignore                           |    1 +
 backends/smt2/Makefile.inc                         |    3 +
 backends/smt2/smt2.cc                              |  570 ++++++++++
 backends/smt2/test_cells.sh                        |   55 +
 backends/spice/spice.cc                            |    4 +-
 backends/verilog/verilog_backend.cc                |   84 +-
 frontends/ast/ast.cc                               |   10 +-
 frontends/ast/ast.h                                |   18 +-
 frontends/ast/genrtlil.cc                          |   33 +-
 frontends/ast/simplify.cc                          |   59 +-
 frontends/ilang/Makefile.inc                       |    2 +-
 frontends/ilang/ilang_parser.y                     |    5 +-
 frontends/verific/verific.cc                       |    2 +-
 frontends/verilog/Makefile.inc                     |    2 +-
 frontends/verilog/const2ast.cc                     |   16 +-
 frontends/verilog/preproc.cc                       |    3 +-
 frontends/verilog/verilog_frontend.h               |    2 +-
 frontends/verilog/verilog_lexer.l                  |   35 +-
 frontends/verilog/verilog_parser.y                 |   28 +-
 kernel/bitpattern.h                                |   85 +-
 kernel/celltypes.h                                 |  107 +-
 kernel/cost.h                                      |   20 +-
 kernel/driver.cc                                   |  290 +++--
 kernel/hashlib.h                                   |  859 ++++++++++++++
 kernel/log.cc                                      |  178 ++-
 kernel/log.h                                       |   31 +-
 kernel/macc.h                                      |    4 +-
 kernel/modtools.h                                  |  139 ++-
 kernel/register.cc                                 |   23 +-
 kernel/register.h                                  |    4 +-
 kernel/rtlil.cc                                    |  345 ++++--
 kernel/rtlil.h                                     |  651 ++++++-----
 kernel/satgen.h                                    |   33 +
 kernel/sigtools.h                                  |   22 +-
 kernel/utils.h                                     |   10 +-
 kernel/yosys.cc                                    |  109 +-
 kernel/yosys.h                                     |  112 +-
 libs/ezsat/ezsat.cc                                |   51 +-
 libs/ezsat/ezsat.h                                 |    3 +
 libs/sha1/sha1.cpp                                 |  108 +-
 libs/sha1/sha1.h                                   |   46 +-
 .../APPNOTE_011_Design_Investigation/cmos_00.dot   |    2 +-
 .../APPNOTE_011_Design_Investigation/cmos_01.dot   |    2 +-
 .../example_00.dot                                 |    2 +-
 .../example_01.dot                                 |    2 +-
 .../example_02.dot                                 |    2 +-
 .../example_03.dot                                 |    2 +-
 .../memdemo_00.dot                                 |    2 +-
 .../memdemo_01.dot                                 |    2 +-
 manual/APPNOTE_011_Design_Investigation/splice.dot |    2 +-
 .../APPNOTE_011_Design_Investigation/submod_00.dot |    2 +-
 .../APPNOTE_011_Design_Investigation/submod_01.dot |    2 +-
 .../APPNOTE_011_Design_Investigation/submod_02.dot |    2 +-
 .../APPNOTE_011_Design_Investigation/submod_03.dot |    2 +-
 .../sumprod_00.dot                                 |    2 +-
 .../sumprod_01.dot                                 |    2 +-
 .../sumprod_02.dot                                 |    2 +-
 .../sumprod_03.dot                                 |    2 +-
 .../sumprod_04.dot                                 |    2 +-
 .../sumprod_05.dot                                 |    2 +-
 manual/CHAPTER_CellLib.tex                         |   12 +-
 manual/CHAPTER_Prog.tex                            |   21 +-
 manual/CHAPTER_Prog/.gitignore                     |    3 +
 manual/CHAPTER_Prog/stubnets.cc                    |    8 +-
 manual/PRESENTATION_Intro.tex                      |    2 +-
 manual/PRESENTATION_Prog/.gitignore                |    1 +
 manual/presentation.sh                             |    2 +-
 manual/presentation.tex                            |    2 +-
 misc/example.cc                                    |   21 +
 passes/abc/abc.cc                                  |  404 +++++--
 passes/abc/blifparse.cc                            |    3 +-
 passes/cmds/cover.cc                               |    4 +-
 passes/cmds/delete.cc                              |    8 +-
 passes/cmds/rename.cc                              |   12 +-
 passes/cmds/select.cc                              |   79 +-
 passes/cmds/setattr.cc                             |    2 +-
 passes/cmds/show.cc                                |   47 +-
 passes/cmds/splice.cc                              |   29 +-
 passes/cmds/splitnets.cc                           |    2 +-
 passes/cmds/tee.cc                                 |    4 +-
 passes/cmds/trace.cc                               |   16 +-
 passes/equiv/Makefile.inc                          |    8 +
 passes/equiv/equiv_induct.cc                       |  240 ++++
 passes/equiv/equiv_make.cc                         |  474 ++++++++
 passes/equiv/equiv_miter.cc                        |  343 ++++++
 passes/equiv/equiv_remove.cc                       |   83 ++
 passes/equiv/equiv_simple.cc                       |  309 ++++++
 passes/equiv/equiv_status.cc                       |   94 ++
 passes/fsm/fsm.cc                                  |   10 +-
 passes/fsm/fsm_detect.cc                           |    2 +-
 passes/fsm/fsm_export.cc                           |    4 +-
 passes/fsm/fsm_extract.cc                          |    2 +-
 passes/fsm/fsm_recode.cc                           |   43 +-
 passes/hierarchy/hierarchy.cc                      |   34 +-
 passes/hierarchy/submod.cc                         |    4 +-
 passes/memory/Makefile.inc                         |    1 +
 passes/memory/memory.cc                            |   13 +-
 passes/memory/memory_bram.cc                       | 1169 ++++++++++++++++++++
 passes/memory/memory_collect.cc                    |   24 +-
 passes/memory/memory_share.cc                      |    4 +-
 passes/opt/Makefile.inc                            |    3 +
 passes/opt/opt.cc                                  |    2 +-
 passes/opt/opt_clean.cc                            |   36 +-
 passes/opt/opt_const.cc                            |   43 +-
 passes/opt/opt_muxtree.cc                          |  336 +++---
 passes/opt/opt_reduce.cc                           |   10 +-
 passes/opt/opt_share.cc                            |   19 +-
 passes/opt/share.cc                                |  133 ++-
 passes/opt/wreduce.cc                              |    4 +-
 passes/proc/proc_arst.cc                           |    2 +-
 passes/proc/proc_dff.cc                            |    4 +-
 passes/sat/eval.cc                                 |    2 +-
 passes/sat/expose.cc                               |    2 +-
 passes/sat/sat.cc                                  |   15 +-
 passes/techmap/Makefile.inc                        |    3 +-
 passes/techmap/alumacc.cc                          |    6 +-
 passes/techmap/dff2dffe.cc                         |  337 ++++++
 passes/techmap/dfflibmap.cc                        |   99 +-
 passes/techmap/extract.cc                          |    4 +-
 passes/techmap/iopadmap.cc                         |    4 +-
 passes/techmap/maccmap.cc                          |    6 +-
 passes/techmap/simplemap.cc                        |  105 +-
 passes/techmap/simplemap.h                         |   48 +
 passes/techmap/techmap.cc                          |   39 +-
 passes/tests/test_abcloop.cc                       |    4 +-
 passes/tests/test_autotb.cc                        |   24 +-
 passes/tests/test_cell.cc                          |   77 +-
 techlibs/common/Makefile.inc                       |   34 +-
 techlibs/common/cells.lib                          |  108 ++
 techlibs/common/simcells.v                         |   32 +
 techlibs/common/simlib.v                           |  179 ++-
 techlibs/common/synth.cc                           |   21 +-
 techlibs/common/techmap.v                          |   58 +-
 techlibs/xilinx/Makefile.inc                       |   10 +-
 techlibs/xilinx/arith_map.v                        |   91 ++
 techlibs/xilinx/brams.txt                          |  101 ++
 techlibs/xilinx/brams_map.v                        |  267 +++++
 techlibs/xilinx/cells.v                            |   53 -
 techlibs/xilinx/cells_map.v                        |   84 ++
 techlibs/xilinx/cells_sim.v                        |  158 +++
 techlibs/xilinx/example_basys3/README              |   16 +
 techlibs/xilinx/example_basys3/example.v           |   21 +
 techlibs/xilinx/example_basys3/example.xdc         |   21 +
 techlibs/xilinx/example_basys3/run.sh              |    4 +
 techlibs/xilinx/example_basys3/run_prog.tcl        |    4 +
 techlibs/xilinx/example_basys3/run_vivado.tcl      |    9 +
 techlibs/xilinx/example_basys3/run_yosys.ys        |    2 +
 techlibs/xilinx/example_mojo_counter/README        |   10 -
 techlibs/xilinx/example_mojo_counter/example.sh    |   18 -
 techlibs/xilinx/example_mojo_counter/example.ucf   |   14 -
 techlibs/xilinx/example_mojo_counter/example.v     |   14 -
 techlibs/xilinx/example_sim_counter/counter.v      |   12 -
 techlibs/xilinx/example_sim_counter/counter_tb.v   |   61 -
 techlibs/xilinx/example_sim_counter/run_sim.sh     |   23 -
 techlibs/xilinx/example_zed_counter/README         |   10 -
 techlibs/xilinx/example_zed_counter/example.sh     |   18 -
 techlibs/xilinx/example_zed_counter/example.ucf    |   14 -
 techlibs/xilinx/example_zed_counter/example.v      |   14 -
 techlibs/xilinx/synth_xilinx.cc                    |  120 +-
 techlibs/xilinx/tests/.gitignore                   |    3 +
 techlibs/xilinx/tests/bram1.sh                     |   63 ++
 techlibs/xilinx/tests/bram1.v                      |   24 +
 techlibs/xilinx/tests/bram1_tb.v                   |  116 ++
 tests/bram/.gitignore                              |    1 +
 tests/bram/generate.py                             |  264 +++++
 tests/bram/run-single.sh                           |   12 +
 tests/bram/run-test.sh                             |   32 +
 tests/realmath/run-test.sh                         |    2 +-
 tests/share/run-test.sh                            |    2 +-
 tests/simple/muxtree.v                             |   11 +
 tests/tools/cmp_tbdata.c                           |    2 +
 tests/tools/vcdcd.pl                               |    4 +-
 181 files changed, 9637 insertions(+), 2134 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list