[fpga-icestorm] 07/09: add manpages for icepll and icetime

Ruben Undheim rubund-guest at moszumanska.debian.org
Thu Feb 25 23:20:25 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository fpga-icestorm.

commit 172db03a5a7218ea33e978c46a4a0c5a0bcbaf3e
Author: Sebastian Kuzminsky <seb at highlab.com>
Date:   Thu Feb 25 13:58:04 2016 -0700

    add manpages for icepll and icetime
---
 debian/man/genmanpages.sh |  2 ++
 debian/man/icepll.txt     | 29 +++++++++++++++++++++++++++
 debian/man/icetime.txt    | 51 +++++++++++++++++++++++++++++++++++++++++++++++
 3 files changed, 82 insertions(+)

diff --git a/debian/man/genmanpages.sh b/debian/man/genmanpages.sh
index dcb9d68..84330bb 100755
--- a/debian/man/genmanpages.sh
+++ b/debian/man/genmanpages.sh
@@ -12,4 +12,6 @@ txt2man -d "${CHANGELOG_DATE}" -t ICEBOX_EXPLAIN         -s 1 icebox_explain.txt
 txt2man -d "${CHANGELOG_DATE}" -t ICEBOX_HTML            -s 1 icebox_html.txt         > icebox_html.1
 txt2man -d "${CHANGELOG_DATE}" -t ICEUNPACK              -s 1 iceunpack.txt           > iceunpack.1
 txt2man -d "${CHANGELOG_DATE}" -t ICEBOX_CHIPDB          -s 1 icebox_chipdb.txt       > icebox_chipdb.1
+txt2man -d "${CHANGELOG_DATE}" -t ICEPLL                 -s 1 icepll.txt              > icepll.1
+txt2man -d "${CHANGELOG_DATE}" -t ICETIME                -s 1 icetime.txt             > icetime.1
 
diff --git a/debian/man/icepll.txt b/debian/man/icepll.txt
new file mode 100644
index 0000000..ded9920
--- /dev/null
+++ b/debian/man/icepll.txt
@@ -0,0 +1,29 @@
+NAME
+
+    icepll - compute PLL parameters for iCE40
+
+
+SYNOPSIS
+
+    icepll [options]
+
+
+DESCRIPTION
+
+    Computes PLL divisors and VCO frequency, given an input frequency
+    and desired output frequency.
+
+
+OPTIONS
+
+    -i <input_freq_mhz>  PLL Input Frequency (default: 12 MHz)
+
+    -o <output_freq_mhz>  PLL Output Frequency (default: 60 MHz)
+
+    -S  Disable SIMPLE feedback path mode
+
+
+AUTHOR
+
+    This manual page was written by Sebastian Kuzminsky <seb at highlab.com>
+    for the Debian project (and may be used by others).
diff --git a/debian/man/icetime.txt b/debian/man/icetime.txt
new file mode 100644
index 0000000..25721fd
--- /dev/null
+++ b/debian/man/icetime.txt
@@ -0,0 +1,51 @@
+NAME
+
+    icetime - generate timing estimates
+
+
+SYNOPSIS
+
+    icetime [OPTIONS] FILE.asc
+
+
+DESCRIPTION
+
+    Generate timing estimates from a textual bitstream file (such as
+    output from arachne-pnr).
+
+
+OPTIONS
+
+    -p <pcf_file>  
+        Specify PCF file to use (needed for correct IO pin names).
+
+    -P <chip_package>  
+        Specify chip package (needed for correct IO pin names).
+
+    -g <net_index>  Write a graphviz description of the interconnect tree
+        that includes the given net to 'icetime_graph.dot'.
+
+    -o <output_file>  Write verilog netlist to the named file. Use '-'
+        for stdout.
+
+    -r <output_file>  Write timing report to the named file (instead
+        of stdout).
+
+    -d lp1k|hx1k|lp8k|hx8k  Select the device type (default = lp variant).
+
+    -m  Enable max_span_hack for conservative timing estimates.
+
+    -i  Only consider interior timing paths (not to/from IOs).
+
+    -t  Print a timing estimate (based on topological timing
+        analysis).
+
+    -T <net_name>  Print a timing estimate for the specified net.
+
+    -v  Verbose mode (print all interconnect trees).
+
+
+AUTHOR
+
+This manual page was written by Sebastian Kuzminsky <seb at highlab.com>
+for the Debian project (and may be used by others).

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/fpga-icestorm.git



More information about the debian-science-commits mailing list