[berkeley-abc] 01/05: Merge branch 'upstream'

Ruben Undheim rubund-guest at moszumanska.debian.org
Sun Feb 28 15:39:36 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch master
in repository berkeley-abc.

commit c9f353bd4dff8ad42909fcf18397cd1af56e596f
Merge: 73a84f6 835f771
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Sun Feb 28 15:37:06 2016 +0100

    Merge branch 'upstream'

 CMakeLists.txt                                     |   103 +
 Makefile                                           |   122 +-
 abc.rc                                             |     2 +-
 abcexe.dsp                                         |     4 +-
 abclib.dsp                                         |   750 +-
 scripts/abc.rc                                     |   147 -
 scripts/abc_common.py                              |  2007 --
 scripts/main.py                                    |   431 -
 scripts/multi_prove.sh                             |    14 -
 scripts/new_abc_commands.py                        |   257 -
 scripts/niklas.py                                  |   191 -
 scripts/par.py                                     |  8151 -------
 scripts/simple.sh                                  |    14 -
 scripts/simple_bip.sh                              |    14 -
 scripts/simple_liveness.sh                         |    14 -
 scripts/simple_sat.sh                              |    14 -
 scripts/super_prove.sh                             |    14 -
 src/aig/aig/aigSplit.c                             |    22 +-
 src/aig/gia/gia.h                                  |    29 +-
 src/aig/gia/giaAig.c                               |     2 +-
 src/aig/gia/giaAiger.c                             |    24 +
 src/aig/gia/giaBalAig.c                            |   129 +-
 src/aig/gia/giaClp.c                               |    20 +-
 src/aig/gia/giaDup.c                               |   337 +-
 src/aig/gia/giaFadds.c                             |     4 +-
 src/aig/gia/giaFanout.c                            |    14 +-
 src/aig/gia/giaHash.c                              |    12 +-
 src/aig/gia/giaIf.c                                |    34 +-
 src/aig/gia/giaLf.c                                |    30 +-
 src/aig/gia/giaMan.c                               |    10 +
 src/aig/gia/giaMf.c                                |     6 +-
 src/aig/gia/giaMfs.c                               |   113 +-
 src/aig/gia/giaMuxes.c                             |   313 +
 src/aig/gia/giaNf.c                                |  1688 +-
 src/aig/gia/giaOf.c                                |  1864 ++
 src/aig/gia/giaPack.c                              |   207 +
 src/aig/gia/giaQbf.c                               |    92 +-
 src/aig/gia/giaRex.c                               |   545 +
 src/aig/gia/giaSatLut.c                            |   570 +
 src/aig/gia/giaSatMap.c                            |   548 +
 src/aig/gia/giaStr.c                               |     2 +-
 src/aig/gia/giaSweep.c                             |    17 +-
 src/aig/gia/giaTim.c                               |    36 +-
 src/aig/gia/giaTruth.c                             |   167 +
 src/aig/gia/giaUtil.c                              |   135 +-
 src/aig/gia/module.make                            |     5 +
 src/aig/ivy/ivyFraig.c                             |     4 +
 src/aig/miniaig/abcapis.h                          |     4 +
 src/aig/miniaig/miniaig.h                          |   456 +-
 src/aig/saig/saigDup.c                             |     5 +
 src/aig/saig/saigUnfold2.c                         |     2 +-
 src/base/abc/abc.h                                 |    17 +-
 src/base/abc/abcBlifMv.c                           |     9 +-
 src/base/abc/abcCheck.c                            |    11 +-
 src/base/abc/abcDfs.c                              |   156 +-
 src/base/abc/abcFanOrder.c                         |   187 +-
 src/base/abc/abcFanio.c                            |    28 +
 src/base/abc/abcFunc.c                             |   271 +-
 src/base/abc/abcHie.c                              |     4 +-
 src/base/abc/abcLatch.c                            |    11 +-
 src/base/abc/abcMinBase.c                          |    22 +-
 src/base/abc/abcNames.c                            |    44 +-
 src/base/abc/abcNetlist.c                          |    12 +-
 src/base/abc/abcNtk.c                              |    58 +-
 src/base/abc/abcObj.c                              |    37 +-
 src/base/abc/abcShow.c                             |    66 +-
 src/base/abc/abcSop.c                              |     2 +-
 src/base/abc/abcUtil.c                             |    28 +-
 src/base/abci/abc.c                                | 23079 ++++++++++---------
 src/base/abci/abcAuto.c                            |    17 +-
 src/base/abci/abcBm.c                              |     6 +-
 src/base/abci/abcCas.c                             |    17 +-
 src/base/abci/abcCascade.c                         |    17 +-
 src/base/abci/abcCollapse.c                        |   939 +-
 src/base/abci/abcDar.c                             |     8 +-
 src/base/abci/abcDsd.c                             |    21 +-
 src/base/abci/abcFpga.c                            |     5 +-
 src/base/abci/abcFxu.c                             |     2 +-
 src/base/abci/abcGen.c                             |    55 +-
 src/base/abci/abcIf.c                              |     4 +
 src/base/abci/abcIvy.c                             |     7 +-
 src/base/abci/abcLutmin.c                          |    17 +-
 src/base/abci/abcMap.c                             |   113 +-
 src/base/abci/abcMini.c                            |    14 +-
 src/base/abci/abcMulti.c                           |    17 +-
 src/base/abci/abcMv.c                              |    10 +-
 src/base/abci/abcNtbdd.c                           |    22 +-
 src/base/abci/abcPrint.c                           |   448 +-
 src/base/abci/abcProve.c                           |    11 +-
 src/base/abci/abcReach.c                           |    10 +-
 src/base/abci/abcReconv.c                          |    13 +-
 src/base/abci/abcRefactor.c                        |   399 +-
 src/base/abci/abcRenode.c                          |    19 +-
 src/base/abci/abcReorder.c                         |    15 +-
 src/base/abci/abcRestruct.c                        |    17 +-
 src/base/abci/abcSat.c                             |    14 +-
 src/base/abci/abcSweep.c                           |    78 +-
 src/base/abci/abcSymm.c                            |    14 +-
 src/base/abci/abcTim.c                             |     2 +-
 src/base/abci/abcUnate.c                           |    14 +-
 src/base/abci/abcUnreach.c                         |    17 +-
 src/base/{cba/cba.c => bac/bac.c}                  |     6 +-
 src/base/bac/bac.h                                 |  1017 +
 src/base/{cba/cbaCba.c => bac/bacBac.c}            |   120 +-
 src/base/{cba/cbaBlast.c => bac/bacBlast.c}        |   300 +-
 src/base/{cba/cbaCom.c => bac/bacCom.c}            |   225 +-
 src/base/{cba/cbaLib.c => bac/bacLib.c}            |     6 +-
 src/base/bac/bacNtk.c                              |   603 +
 src/base/{cba/cbaOper.c => bac/bacOper.c}          |   214 +-
 src/base/{cba/cbaPrs.h => bac/bacPrs.h}            |   152 +-
 src/base/{cba/cbaPrsBuild.c => bac/bacPrsBuild.c}  |   211 +-
 src/base/bac/bacPrsTrans.c                         |   211 +
 src/base/{cba/cbaPtr.c => bac/bacPtr.c}            |   138 +-
 src/base/{cba/cbaPtrAbc.c => bac/bacPtrAbc.c}      |   198 +-
 src/base/{cba/cbaReadBlif.c => bac/bacReadBlif.c}  |   288 +-
 src/base/{cba/cbaReadSmt.c => bac/bacReadSmt.c}    |     6 +-
 src/base/{cba/cbaReadVer.c => bac/bacReadVer.c}    |   530 +-
 .../{cba/cbaWriteBlif.c => bac/bacWriteBlif.c}     |   138 +-
 src/base/{cba/cbaWriteSmt.c => bac/bacWriteSmt.c}  |     6 +-
 src/base/{cba/cbaWriteVer.c => bac/bacWriteVer.c}  |   410 +-
 src/base/bac/module.make                           |    15 +
 src/base/cba/cba.c                                 |     6 +-
 src/base/cba/cba.h                                 |  1635 +-
 src/base/cba/cbaBlast.c                            |  1376 +-
 src/base/cba/cbaCba.c                              |   278 +-
 src/base/cba/cbaCom.c                              |   392 +-
 src/base/cba/cbaNtk.c                              |  1400 +-
 src/base/cba/cbaPrs.h                              |   395 +-
 src/base/cba/cbaPrsTrans.c                         |   211 -
 src/base/cba/cbaReadBlif.c                         |   281 +-
 src/base/cba/cbaReadVer.c                          |  1661 +-
 src/base/cba/cbaWriteBlif.c                        |   180 +-
 src/base/cba/cbaWriteVer.c                         |  1343 +-
 src/base/cba/module.make                           |     7 -
 src/base/cmd/cmdPlugin.c                           |   130 +-
 src/base/io/ioReadEqn.c                            |     4 +-
 src/base/io/ioReadPla.c                            |    12 +-
 src/base/io/ioWritePla.c                           |    12 +-
 src/base/io/ioWriteVerilog.c                       |    37 +-
 src/base/main/main.c                               |    18 +-
 src/base/main/main.h                               |    67 +-
 src/base/main/mainFrame.c                          |   166 +-
 src/base/main/mainInit.c                           |     4 +
 src/base/main/mainInt.h                            |    66 +-
 src/base/main/mainReal.c                           |   101 +-
 src/base/main/mainUtils.c                          |    21 +-
 src/base/pla/plaCom.c                              |    14 +-
 src/base/pla/plaFxch.c                             |     4 +-
 src/base/pla/plaHash.c                             |     2 +-
 src/base/pla/plaMan.c                              |    41 +
 src/base/pla/plaRead.c                             |     8 +-
 src/base/pla/plaSimple.c                           |     6 +-
 src/base/wlc/module.make                           |     1 +
 src/base/wlc/wlc.c                                 |   106 +-
 src/base/wlc/wlc.h                                 |    18 +-
 src/base/wlc/wlcAbc.c                              |   154 +
 src/base/wlc/wlcBlast.c                            |   596 +-
 src/base/wlc/wlcCom.c                              |   235 +-
 src/base/wlc/wlcNtk.c                              |   111 +-
 src/base/wlc/wlcReadSmt.c                          |  1753 +-
 src/base/wlc/wlcReadVer.c                          |   107 +-
 src/base/wlc/wlcSim.c                              |     4 +-
 src/base/wlc/wlcWriteVer.c                         |   135 +-
 src/{proof => bdd}/bbr/bbr.h                       |     0
 src/{proof => bdd}/bbr/bbrCex.c                    |     0
 src/{proof => bdd}/bbr/bbrImage.c                  |     0
 src/{proof => bdd}/bbr/bbrNtbdd.c                  |     0
 src/{proof => bdd}/bbr/bbrReach.c                  |     0
 src/{proof => bdd}/bbr/bbr_.c                      |     0
 src/bdd/bbr/module.make                            |     4 +
 src/bdd/cas/casCore.c                              |     2 +-
 src/bdd/cas/casDec.c                               |     2 +-
 src/bdd/cudd/cuddAddFind.c                         |     8 -
 src/bdd/cudd/cuddApa.c                             |     8 -
 src/bdd/cudd/cuddBddCorr.c                         |     8 -
 src/bdd/cudd/cuddBridge.c                          |     8 -
 src/bdd/cudd/cuddGenCof.c                          |     8 -
 src/bdd/cudd/cuddGenetic.c                         |     8 -
 src/bdd/cudd/cuddGroup.c                           |    14 -
 src/bdd/cudd/cuddSat.c                             |     8 -
 src/bdd/cudd/cuddSubsetSP.c                        |     8 -
 src/bdd/cudd/cuddUtil.c                            |     8 -
 src/bdd/cudd/cuddZddCount.c                        |     8 -
 src/bdd/cudd/cuddZddSetop.c                        |     8 -
 src/bdd/dsd/dsdInt.h                               |     2 +-
 src/{misc/extra => bdd/extrab}/extraBdd.h          |     0
 src/{misc/extra => bdd/extrab}/extraBddAuto.c      |     0
 src/{misc/extra => bdd/extrab}/extraBddCas.c       |     0
 src/{misc/extra => bdd/extrab}/extraBddImage.c     |     0
 src/{misc/extra => bdd/extrab}/extraBddKmap.c      |     0
 src/{misc/extra => bdd/extrab}/extraBddMisc.c      |     0
 src/{misc/extra => bdd/extrab}/extraBddSymm.c      |     0
 src/bdd/extrab/extraBddThresh.c                    |   693 +
 src/{misc/extra => bdd/extrab}/extraBddTime.c      |     0
 src/{misc/extra => bdd/extrab}/extraBddUnate.c     |     0
 src/bdd/extrab/module.make                         |     9 +
 src/{proof => bdd}/llb/llb.c                       |     0
 src/{proof => bdd}/llb/llb.h                       |     0
 src/{proof => bdd}/llb/llb1Cluster.c               |     0
 src/{proof => bdd}/llb/llb1Constr.c                |     0
 src/{proof => bdd}/llb/llb1Core.c                  |     0
 src/{proof => bdd}/llb/llb1Group.c                 |     0
 src/{proof => bdd}/llb/llb1Hint.c                  |     0
 src/{proof => bdd}/llb/llb1Man.c                   |     0
 src/{proof => bdd}/llb/llb1Matrix.c                |     0
 src/{proof => bdd}/llb/llb1Pivot.c                 |     0
 src/{proof => bdd}/llb/llb1Reach.c                 |     0
 src/{proof => bdd}/llb/llb1Sched.c                 |     0
 src/{proof => bdd}/llb/llb2Bad.c                   |     0
 src/{proof => bdd}/llb/llb2Core.c                  |     0
 src/{proof => bdd}/llb/llb2Driver.c                |     0
 src/{proof => bdd}/llb/llb2Dump.c                  |     0
 src/{proof => bdd}/llb/llb2Flow.c                  |     0
 src/{proof => bdd}/llb/llb2Image.c                 |     0
 src/{proof => bdd}/llb/llb3Image.c                 |     0
 src/{proof => bdd}/llb/llb3Nonlin.c                |     0
 src/{proof => bdd}/llb/llb4Cex.c                   |     0
 src/{proof => bdd}/llb/llb4Cluster.c               |     0
 src/{proof => bdd}/llb/llb4Image.c                 |     0
 src/{proof => bdd}/llb/llb4Map.c                   |     0
 src/{proof => bdd}/llb/llb4Nonlin.c                |     0
 src/{proof => bdd}/llb/llb4Sweep.c                 |     0
 src/{proof => bdd}/llb/llbInt.h                    |     5 +-
 src/bdd/llb/module.make                            |    22 +
 src/bdd/parse/module.make                          |     3 -
 src/bdd/reo/reo.h                                  |     2 +-
 src/bool/bdc/bdcSpfd.c                             |     1 +
 src/bool/dec/decFactor.c                           |    12 +-
 src/bool/dec/decUtil.c                             |    13 +-
 src/bool/kit/kit.h                                 |     9 +-
 src/bool/kit/kitBdd.c                              |    13 +-
 src/bool/kit/kitDsd.c                              |     1 +
 src/map/amap/amapInt.h                             |     2 +-
 src/map/amap/amapParse.c                           |     6 +-
 src/map/amap/amapRead.c                            |     3 +-
 src/map/if/if.h                                    |   327 +-
 src/map/if/ifCore.c                                |     1 +
 src/map/if/ifCount.h                               |     6 +-
 src/map/if/ifCut.c                                 |     2 +-
 src/map/if/ifDsd.c                                 |    19 +-
 src/map/if/ifMap.c                                 |    10 +-
 src/map/if/ifTune.c                                |     5 +-
 src/map/mapper/mapper.h                            |     1 +
 src/map/mapper/mapperCreate.c                      |     1 +
 src/map/mapper/mapperInt.h                         |     8 +-
 src/map/mapper/mapperMatch.c                       |    46 +-
 src/map/mapper/mapperRefs.c                        |    49 +-
 src/map/mapper/mapperTime.c                        |    43 +-
 src/map/mio/exp.h                                  |    59 +
 src/map/mio/mio.c                                  |   243 +-
 src/map/mio/mio.h                                  |    45 +-
 src/map/mio/mioApi.c                               |    44 +-
 src/map/mio/mioFunc.c                              |     8 +-
 src/map/mio/mioInt.h                               |     3 +
 src/map/mio/mioRead.c                              |    36 +-
 src/map/mio/mioUtils.c                             |   411 +-
 src/map/mpm/mpmGates.c                             |     2 +-
 src/map/mpm/mpmMan.c                               |     2 +-
 src/map/scl/scl.c                                  |   128 +-
 src/map/scl/sclCon.h                               |   293 +
 src/map/scl/sclLib.h                               |   348 +-
 src/map/scl/sclLibScl.c                            |   195 +-
 src/map/scl/sclLibUtil.c                           |   270 +-
 src/map/scl/sclLiberty.c                           |     2 +
 src/map/scl/sclLoad.c                              |     6 +-
 src/map/scl/sclSize.c                              |     2 +-
 src/map/scl/sclUtil.c                              |    15 +-
 src/misc/extra/extraUtilEnum.c                     |     2 +-
 src/misc/extra/extraUtilMisc.c                     |     7 +-
 src/misc/extra/extraUtilPrime.c                    |     1 +
 src/misc/extra/extraUtilThresh.c                   |   333 -
 src/misc/extra/module.make                         |    11 +-
 src/misc/mvc/mvc.h                                 |     2 +-
 src/misc/parse/module.make                         |     2 +
 src/{bdd => misc}/parse/parse.h                    |     0
 src/{bdd => misc}/parse/parseCore.c                |     0
 src/{bdd => misc}/parse/parseEqn.c                 |     5 +-
 src/{bdd => misc}/parse/parseInt.h                 |     6 +-
 src/{bdd => misc}/parse/parseStack.c               |     0
 src/misc/tim/tim.h                                 |     3 +-
 src/misc/tim/timBox.c                              |     3 +-
 src/misc/tim/timDump.c                             |     6 +-
 src/misc/tim/timMan.c                              |    20 +-
 src/misc/util/abc_global.h                         |   134 +-
 src/misc/util/abc_namespaces.h                     |    58 +
 src/misc/util/utilIsop.c                           |     4 +-
 src/misc/util/utilNam.c                            |   114 +-
 src/misc/util/utilNam.h                            |     2 +
 src/misc/util/utilSignal.c                         |     4 -
 src/misc/util/utilTruth.h                          |   163 +-
 src/misc/vec/vecHash.h                             |    18 +-
 src/misc/vec/vecInt.h                              |    86 +-
 src/misc/vec/vecPtr.h                              |    27 +
 src/misc/vec/vecStr.h                              |    61 +
 src/misc/vec/vecVec.h                              |     8 +
 src/misc/vec/vecWec.h                              |     2 +-
 src/opt/fret/fretFlow.c                            |   700 +
 src/opt/fret/fretInit.c                            |  1343 ++
 src/opt/fret/fretMain.c                            |  1383 ++
 src/opt/fret/fretTime.c                            |   766 +
 src/opt/fret/fretime.h                             |   212 +
 src/opt/fret/module.make                           |     5 +
 src/opt/ret/retArea.c                              |     5 +-
 src/opt/ret/retIncrem.c                            |     1 +
 src/opt/sfm/module.make                            |     4 +
 src/opt/sfm/sfm.h                                  |    16 +
 src/opt/sfm/sfmDec.c                               |  2163 ++
 src/opt/sfm/sfmInt.h                               |    51 +
 src/opt/sfm/sfmLib.c                               |   766 +
 src/opt/sfm/sfmMit.c                               |    73 +
 src/opt/sfm/sfmTim.c                               |   458 +
 src/phys/place/place_qpsolver.h                    |     9 -
 src/proof/abs/absOldRef.c                          |     2 +-
 src/proof/abs/absRpm.c                             |    81 +
 src/proof/bbr/module.make                          |     4 -
 src/proof/cec/cec.h                                |     3 +-
 src/proof/cec/cecCec.c                             |    21 +
 src/proof/cec/cecSplit.c                           |     2 +-
 src/proof/dch/dchChoice.c                          |     9 +-
 src/proof/fra/fraCec.c                             |     2 +-
 src/proof/fra/fraSec.c                             |     2 +-
 src/proof/llb/module.make                          |    22 -
 src/proof/pdr/pdr.h                                |     1 +
 src/proof/pdr/pdrCore.c                            |    51 +-
 src/proof/pdr/pdrInt.h                             |    21 +-
 src/proof/pdr/pdrInv.c                             |   409 +-
 src/proof/pdr/pdrMan.c                             |     3 +-
 src/proof/pdr/pdrSat.c                             |    13 +-
 src/proof/pdr/pdrUtil.c                            |    40 +
 src/proof/ssc/sscClass.c                           |    78 +-
 src/python/abc.sh                                  |    78 -
 src/python/abcpy_test.py                           |    54 -
 src/python/build.txt                               |    32 -
 src/python/getch.py                                |    37 -
 src/python/module.make                             |    92 -
 src/python/package.py                              |   176 -
 src/python/pyabc.i                                 |  1265 -
 src/python/pyabc_split.py                          |   412 -
 src/python/reachx_cmd.py                           |   108 -
 src/python/redirect.py                             |   111 -
 src/python/setup.py                                |    67 -
 src/sat/bmc/bmc.h                                  |     1 +
 src/sat/bmc/bmcBmcAnd.c                            |    17 +-
 src/sat/bmc/bmcCexTools.c                          |     2 +-
 src/sat/bmc/bmcClp.c                               |  1514 ++
 src/sat/bmc/bmcFault.c                             |    93 +-
 src/sat/bmc/module.make                            |     1 +
 src/sat/bsat/satSolver.c                           |   115 +-
 src/sat/bsat/satSolver.h                           |    65 +-
 src/sat/bsat/satUtil.c                             |    19 +-
 src/sat/cnf/cnfUtil.c                              |    35 +-
 351 files changed, 47384 insertions(+), 34238 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/berkeley-abc.git



More information about the debian-science-commits mailing list