[yosys] branch master updated (324696a -> 4cca663)

Ruben Undheim rubund-guest at moszumanska.debian.org
Thu Nov 3 22:29:20 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a change to branch master
in repository yosys.

      from  324696a   Fix #835953 (dependency on GCC 5)
      adds  c89f61c   We are now in 0.6+ development
      adds  4f096fe   Squashed commit of the following:
      adds  5e5a847   Merge tag 'upstream/0.6+20160920git0c697b9'
      adds  e8ba8a5   New changelog entry
      adds  2fba240   Refreshed patches
      adds  fefe0fc   Imported yosys 0.7
       new  1075138   Merge tag 'upstream/0.7'
       new  d3d199b   Refreshed patches
       new  4cca663   New changelog entry

The 3 revisions listed above as "new" are entirely new to this
repository and will be described in separate emails.  The revisions
listed as "adds" were already present in the repository and have only
been added to this reference.


Summary of changes:
 CHANGELOG                                          |   99 +
 CodingReadme                                       |    4 +-
 Makefile                                           |  136 +-
 README                                             |   82 +-
 backends/blif/blif.cc                              |  116 +-
 backends/btor/btor.cc                              |    2 +-
 backends/btor/verilog2btor.sh                      |    2 +-
 backends/edif/edif.cc                              |   55 +-
 backends/ilang/ilang_backend.cc                    |   10 +-
 backends/intersynth/intersynth.cc                  |    4 +-
 backends/json/json.cc                              |   16 +-
 backends/smt2/smt2.cc                              |  493 ++-
 backends/smt2/smtbmc.py                            |  679 +++-
 backends/smt2/smtio.py                             |  624 +++-
 backends/smv/smv.cc                                |    2 +-
 backends/spice/spice.cc                            |   67 +-
 backends/verilog/verilog_backend.cc                |  196 +-
 debian/changelog                                   |    7 +
 debian/patches/01_gitrevision.patch                |    8 +-
 debian/patches/02_removeabc.patch                  |    8 +-
 debian/patches/03_notruntests.patch                |   44 +-
 debian/patches/05_abc_executable.patch             |   18 +-
 debian/patches/add-missing-headers.patch           |   10 +-
 .../patches/fix_ftbfs_cannot_find_infinite.patch   |   10 +-
 debian/patches/fixup-initalization.patch           |   48 -
 debian/patches/kfreebsd-support.patch              |   26 +-
 debian/patches/series                              |    2 -
 debian/patches/spelling-fixes.patch                |   62 -
 debian/patches/switch-to-free-font.patch           |    4 +-
 examples/cmos/.gitignore                           |    4 +
 examples/cmos/README                               |   13 +
 examples/cmos/cmos_cells_digital.sp                |   31 +
 examples/cmos/{counter.ys => counter_digital.ys}   |    2 +-
 examples/cmos/counter_tb.gtkw                      |    5 +
 examples/cmos/counter_tb.v                         |   33 +
 examples/cmos/testbench.sp                         |    2 +-
 examples/cmos/testbench_digital.sh                 |   15 +
 examples/cmos/testbench_digital.sp                 |   26 +
 examples/cxx-api/evaldemo.cc                       |   55 +
 examples/smtbmc/.gitignore                         |   22 +
 examples/smtbmc/Makefile                           |   59 +
 examples/smtbmc/demo1.v                            |   19 +
 examples/smtbmc/demo2.v                            |   29 +
 examples/smtbmc/demo3.smtc                         |    5 +
 examples/smtbmc/demo3.v                            |   18 +
 examples/smtbmc/demo4.smtc                         |   11 +
 examples/smtbmc/demo4.v                            |   13 +
 examples/smtbmc/demo5.v                            |   18 +
 examples/smtbmc/demo6.v                            |   14 +
 examples/smtbmc/demo7.v                            |   19 +
 frontends/ast/ast.cc                               |   80 +-
 frontends/ast/ast.h                                |   18 +-
 frontends/ast/genrtlil.cc                          |  121 +-
 frontends/ast/simplify.cc                          |  418 ++-
 frontends/blif/blifparse.cc                        |  143 +-
 frontends/blif/blifparse.h                         |    2 +-
 frontends/ilang/ilang_frontend.cc                  |    2 +-
 frontends/ilang/ilang_lexer.l                      |    1 +
 frontends/ilang/ilang_parser.y                     |   16 +-
 frontends/liberty/liberty.cc                       |   87 +-
 frontends/verific/verific.cc                       |    2 +-
 frontends/verilog/verilog_frontend.cc              |   43 +-
 frontends/verilog/verilog_frontend.h               |    9 +
 frontends/verilog/verilog_lexer.l                  |    9 +
 frontends/verilog/verilog_parser.y                 |  131 +-
 frontends/vhdl2verilog/vhdl2verilog.cc             |    4 +-
 kernel/celledges.cc                                |  209 ++
 kernel/celledges.h                                 |   63 +
 kernel/celltypes.h                                 |   46 +-
 kernel/driver.cc                                   |   40 +-
 kernel/hashlib.h                                   |    7 +-
 kernel/log.cc                                      |   53 +-
 kernel/log.h                                       |   48 +-
 kernel/register.cc                                 |   77 +-
 kernel/register.h                                  |   17 +
 kernel/rtlil.cc                                    |   85 +-
 kernel/rtlil.h                                     |   11 +-
 kernel/satgen.h                                    |  138 +-
 kernel/yosys.cc                                    |   45 +-
 kernel/yosys.h                                     |    9 +-
 manual/APPNOTE_012_Verilog_to_BTOR.tex             |    4 +-
 manual/CHAPTER_CellLib.tex                         |   10 +-
 manual/CHAPTER_Optimize.tex                        |   26 +-
 manual/CHAPTER_Overview.tex                        |    6 +-
 manual/CHAPTER_Prog/stubnets.cc                    |    2 +-
 manual/PRESENTATION_ExSyn.tex                      |   10 +-
 manual/PRESENTATION_Prog.tex                       |    4 +-
 manual/PRESENTATION_Prog/my_cmd.cc                 |    2 +-
 manual/command-reference-manual.tex                |  674 +++-
 manual/manual.tex                                  |    6 +-
 passes/cmds/check.cc                               |    2 +-
 passes/cmds/connwrappers.cc                        |    2 +-
 passes/cmds/cover.cc                               |    2 +-
 passes/cmds/plugin.cc                              |    8 +-
 passes/cmds/qwp.cc                                 |   32 +-
 passes/cmds/scc.cc                                 |    8 +-
 passes/cmds/select.cc                              |   42 +-
 passes/cmds/setattr.cc                             |   22 +-
 passes/cmds/setundef.cc                            |   94 +-
 passes/cmds/show.cc                                |   18 +-
 passes/cmds/splice.cc                              |    2 +-
 passes/cmds/splitnets.cc                           |    2 +-
 passes/cmds/stat.cc                                |    2 +-
 passes/cmds/tee.cc                                 |   10 +
 passes/cmds/torder.cc                              |    2 +-
 passes/equiv/equiv_induct.cc                       |    2 +-
 passes/equiv/equiv_make.cc                         |    2 +-
 passes/equiv/equiv_mark.cc                         |    2 +-
 passes/equiv/equiv_miter.cc                        |    2 +-
 passes/equiv/equiv_purge.cc                        |    2 +-
 passes/equiv/equiv_remove.cc                       |    2 +-
 passes/equiv/equiv_simple.cc                       |    2 +-
 passes/equiv/equiv_status.cc                       |    2 +-
 passes/equiv/equiv_struct.cc                       |    2 +-
 passes/fsm/fsm.cc                                  |   14 +-
 passes/fsm/fsm_detect.cc                           |  139 +-
 passes/fsm/fsm_expand.cc                           |   42 +-
 passes/fsm/fsm_export.cc                           |    2 +-
 passes/fsm/fsm_extract.cc                          |    9 +-
 passes/fsm/fsm_info.cc                             |    2 +-
 passes/fsm/fsm_map.cc                              |    5 +-
 passes/fsm/fsm_opt.cc                              |    2 +-
 passes/fsm/fsm_recode.cc                           |    8 +-
 passes/hierarchy/hierarchy.cc                      |   24 +-
 passes/hierarchy/singleton.cc                      |    2 +-
 passes/hierarchy/submod.cc                         |    6 +-
 passes/memory/Makefile.inc                         |    1 +
 passes/memory/memory.cc                            |   15 +-
 passes/memory/memory_bram.cc                       |    7 +-
 passes/memory/memory_collect.cc                    |   18 +-
 passes/memory/memory_dff.cc                        |    2 +-
 passes/memory/memory_map.cc                        |    2 +-
 passes/memory/memory_memx.cc                       |   92 +
 passes/memory/memory_share.cc                      |   51 +-
 passes/memory/memory_unpack.cc                     |    2 +-
 passes/opt/Makefile.inc                            |    4 +-
 passes/opt/opt.cc                                  |   62 +-
 passes/opt/opt_clean.cc                            |    5 +-
 passes/opt/{opt_const.cc => opt_expr.cc}           |  216 +-
 passes/opt/{opt_share.cc => opt_merge.cc}          |   70 +-
 passes/opt/opt_muxtree.cc                          |    4 +-
 passes/opt/opt_reduce.cc                           |    2 +-
 passes/opt/opt_rmdff.cc                            |  152 +-
 passes/opt/share.cc                                |   55 +-
 passes/opt/wreduce.cc                              |   31 +-
 passes/proc/proc.cc                                |   16 +-
 passes/proc/proc_arst.cc                           |    2 +-
 passes/proc/proc_clean.cc                          |    2 +-
 passes/proc/proc_dff.cc                            |   28 +-
 passes/proc/proc_dlatch.cc                         |  147 +-
 passes/proc/proc_init.cc                           |   31 +-
 passes/proc/proc_mux.cc                            |   53 +-
 passes/proc/proc_rmdead.cc                         |    6 +-
 passes/sat/Makefile.inc                            |    2 +
 passes/sat/assertpmux.cc                           |  240 ++
 passes/sat/clk2fflogic.cc                          |  226 ++
 passes/sat/eval.cc                                 |    2 +-
 passes/sat/expose.cc                               |    2 +-
 passes/sat/freduce.cc                              |    2 +-
 passes/sat/miter.cc                                |   50 +-
 passes/sat/sat.cc                                  |  217 +-
 passes/techmap/Makefile.inc                        |    9 +
 passes/techmap/abc.cc                              |  216 +-
 passes/techmap/aigmap.cc                           |    2 +-
 passes/techmap/alumacc.cc                          |    2 +-
 passes/techmap/attrmap.cc                          |  269 ++
 passes/techmap/attrmvcp.cc                         |  141 +
 passes/techmap/deminout.cc                         |  116 +
 passes/techmap/dff2dffe.cc                         |    2 +-
 passes/techmap/dffinit.cc                          |    2 +-
 passes/techmap/dfflibmap.cc                        |   26 +-
 passes/techmap/dffsr2dff.cc                        |    2 +-
 passes/techmap/extract.cc                          |   10 +-
 passes/techmap/hilomap.cc                          |    2 +-
 passes/techmap/insbuf.cc                           |   94 +
 passes/techmap/iopadmap.cc                         |  168 +-
 passes/techmap/lut2mux.cc                          |    2 +-
 passes/techmap/maccmap.cc                          |    2 +-
 passes/techmap/muxcover.cc                         |    2 +-
 passes/techmap/nlutmap.cc                          |   20 +-
 passes/techmap/pmuxtree.cc                         |    2 +-
 passes/techmap/shregmap.cc                         |  584 ++++
 passes/techmap/simplemap.cc                        |   53 +-
 passes/techmap/techmap.cc                          |   57 +-
 passes/techmap/tribuf.cc                           |    2 +-
 passes/techmap/zinit.cc                            |  151 +
 passes/tests/test_autotb.cc                        |   63 +-
 passes/tests/test_cell.cc                          |  171 +-
 techlibs/common/prep.cc                            |  178 +-
 techlibs/common/simcells.v                         |   17 +
 techlibs/common/simlib.v                           |  165 +-
 techlibs/common/synth.cc                           |  177 +-
 techlibs/common/techmap.v                          |    8 +-
 techlibs/gowin/Makefile.inc                        |    6 +
 techlibs/gowin/cells_map.v                         |   31 +
 techlibs/gowin/cells_sim.v                         |   51 +
 techlibs/gowin/synth_gowin.cc                      |  178 ++
 techlibs/greenpak4/Makefile.inc                    |    2 +
 techlibs/greenpak4/cells_map.v                     |   74 +-
 techlibs/greenpak4/cells_sim.v                     |  456 ++-
 techlibs/greenpak4/gp_dff.lib                      |   26 +-
 techlibs/greenpak4/greenpak4_counters.cc           |  442 +++
 techlibs/greenpak4/greenpak4_dffinv.cc             |  197 ++
 techlibs/greenpak4/synth_greenpak4.cc              |  192 +-
 techlibs/ice40/Makefile.inc                        |    1 +
 techlibs/ice40/ice40_ffinit.cc                     |   38 +-
 techlibs/ice40/ice40_ffssr.cc                      |    9 +-
 techlibs/ice40/ice40_opt.cc                        |   38 +-
 techlibs/ice40/latches_map.v                       |   11 +
 techlibs/ice40/synth_ice40.cc                      |  212 +-
 techlibs/xilinx/Makefile.inc                       |    1 +
 techlibs/xilinx/cells_xtra.sh                      |  145 +
 techlibs/xilinx/cells_xtra.v                       | 3293 ++++++++++++++++++++
 techlibs/xilinx/synth_xilinx.cc                    |    4 +-
 tests/asicworld/code_hdl_models_arbiter_tb.v       |   28 +-
 tests/asicworld/code_verilog_tutorial_counter_tb.v |   36 +-
 .../code_verilog_tutorial_first_counter_tb.v       |   15 +-
 .../asicworld/code_verilog_tutorial_fsm_full_tb.v  |   12 +-
 tests/asicworld/run-test.sh                        |   14 +-
 tests/bram/generate.py                             |   50 +-
 tests/bram/run-single.sh                           |    2 +-
 tests/bram/run-test.sh                             |   17 +-
 tests/fsm/generate.py                              |   12 +-
 tests/fsm/run-test.sh                              |   14 +-
 tests/hana/run-test.sh                             |   14 +-
 tests/memories/run-test.sh                         |   13 +-
 tests/realmath/generate.py                         |   12 +-
 tests/realmath/run-test.sh                         |   16 +-
 tests/share/generate.py                            |   12 +-
 tests/share/run-test.sh                            |   14 +-
 tests/simple/constmuldivmod.v                      |   27 +
 tests/simple/mem2reg.v                             |   41 +-
 tests/simple/memory.v                              |   64 +
 tests/simple/run-test.sh                           |   13 +-
 tests/tools/autotest.mk                            |    7 +-
 tests/tools/autotest.sh                            |   59 +-
 tests/tools/cmp_tbdata.c                           |    4 +-
 237 files changed, 14685 insertions(+), 2196 deletions(-)
 delete mode 100644 debian/patches/fixup-initalization.patch
 delete mode 100644 debian/patches/spelling-fixes.patch
 create mode 100644 examples/cmos/.gitignore
 create mode 100644 examples/cmos/README
 create mode 100644 examples/cmos/cmos_cells_digital.sp
 copy examples/cmos/{counter.ys => counter_digital.ys} (90%)
 create mode 100644 examples/cmos/counter_tb.gtkw
 create mode 100644 examples/cmos/counter_tb.v
 create mode 100644 examples/cmos/testbench_digital.sh
 create mode 100644 examples/cmos/testbench_digital.sp
 create mode 100644 examples/cxx-api/evaldemo.cc
 create mode 100644 examples/smtbmc/.gitignore
 create mode 100644 examples/smtbmc/Makefile
 create mode 100644 examples/smtbmc/demo1.v
 create mode 100644 examples/smtbmc/demo2.v
 create mode 100644 examples/smtbmc/demo3.smtc
 create mode 100644 examples/smtbmc/demo3.v
 create mode 100644 examples/smtbmc/demo4.smtc
 create mode 100644 examples/smtbmc/demo4.v
 create mode 100644 examples/smtbmc/demo5.v
 create mode 100644 examples/smtbmc/demo6.v
 create mode 100644 examples/smtbmc/demo7.v
 create mode 100644 kernel/celledges.cc
 create mode 100644 kernel/celledges.h
 create mode 100644 passes/memory/memory_memx.cc
 rename passes/opt/{opt_const.cc => opt_expr.cc} (85%)
 rename passes/opt/{opt_share.cc => opt_merge.cc} (84%)
 create mode 100644 passes/sat/assertpmux.cc
 create mode 100644 passes/sat/clk2fflogic.cc
 create mode 100644 passes/techmap/attrmap.cc
 create mode 100644 passes/techmap/attrmvcp.cc
 create mode 100644 passes/techmap/deminout.cc
 create mode 100644 passes/techmap/insbuf.cc
 create mode 100644 passes/techmap/shregmap.cc
 create mode 100644 passes/techmap/zinit.cc
 create mode 100644 techlibs/gowin/Makefile.inc
 create mode 100644 techlibs/gowin/cells_map.v
 create mode 100644 techlibs/gowin/cells_sim.v
 create mode 100644 techlibs/gowin/synth_gowin.cc
 create mode 100644 techlibs/greenpak4/greenpak4_counters.cc
 create mode 100644 techlibs/greenpak4/greenpak4_dffinv.cc
 create mode 100644 techlibs/ice40/latches_map.v
 create mode 100644 techlibs/xilinx/cells_xtra.sh
 create mode 100644 techlibs/xilinx/cells_xtra.v
 create mode 100644 tests/simple/constmuldivmod.v

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list