[yosys] 01/03: Merge tag 'upstream/0.6+20160920git0c697b9'

Ruben Undheim rubund-guest at moszumanska.debian.org
Fri Sep 23 05:24:18 UTC 2016


This is an automated email from the git hooks/post-receive script.

rubund-guest pushed a commit to branch next
in repository yosys.

commit 5e5a84772ea91c96abc74d5d456ca7bf26127c4a
Merge: 324696a 4f096fe
Author: Ruben Undheim <ruben.undheim at gmail.com>
Date:   Fri Sep 23 07:11:40 2016 +0200

    Merge tag 'upstream/0.6+20160920git0c697b9'

 CodingReadme                                       |    4 +-
 Makefile                                           |  108 +-
 README                                             |   61 +-
 backends/blif/blif.cc                              |  105 +-
 backends/btor/btor.cc                              |    2 +-
 backends/btor/verilog2btor.sh                      |    2 +-
 backends/edif/edif.cc                              |   55 +-
 backends/ilang/ilang_backend.cc                    |    2 +-
 backends/intersynth/intersynth.cc                  |    4 +-
 backends/json/json.cc                              |   16 +-
 backends/smt2/smt2.cc                              |  483 ++-
 backends/smt2/smtbmc.py                            |  622 +++-
 backends/smt2/smtio.py                             |  545 +++-
 backends/smv/smv.cc                                |    2 +-
 backends/spice/spice.cc                            |   67 +-
 backends/verilog/verilog_backend.cc                |  170 +-
 examples/cmos/.gitignore                           |    4 +
 examples/cmos/README                               |   13 +
 examples/cmos/cmos_cells_digital.sp                |   31 +
 examples/cmos/counter_digital.ys                   |   16 +
 examples/cmos/counter_tb.gtkw                      |    5 +
 examples/cmos/counter_tb.v                         |   33 +
 examples/cmos/testbench.sp                         |    2 +-
 examples/cmos/testbench_digital.sh                 |   15 +
 examples/cmos/testbench_digital.sp                 |   26 +
 examples/cxx-api/evaldemo.cc                       |   55 +
 examples/smtbmc/.gitignore                         |   22 +
 examples/smtbmc/Makefile                           |   59 +
 examples/smtbmc/demo1.v                            |   19 +
 examples/smtbmc/demo2.v                            |   29 +
 examples/smtbmc/demo3.smtc                         |    5 +
 examples/smtbmc/demo3.v                            |   18 +
 examples/smtbmc/demo4.smtc                         |   11 +
 examples/smtbmc/demo4.v                            |   13 +
 examples/smtbmc/demo5.v                            |   18 +
 examples/smtbmc/demo6.v                            |   14 +
 examples/smtbmc/demo7.v                            |   18 +
 frontends/ast/ast.cc                               |   71 +-
 frontends/ast/ast.h                                |   18 +-
 frontends/ast/genrtlil.cc                          |  105 +-
 frontends/ast/simplify.cc                          |  412 ++-
 frontends/blif/blifparse.cc                        |  125 +-
 frontends/blif/blifparse.h                         |    2 +-
 frontends/ilang/ilang_frontend.cc                  |    2 +-
 frontends/liberty/liberty.cc                       |   80 +-
 frontends/verific/verific.cc                       |    2 +-
 frontends/verilog/verilog_frontend.cc              |   43 +-
 frontends/verilog/verilog_frontend.h               |    9 +
 frontends/verilog/verilog_lexer.l                  |    9 +
 frontends/verilog/verilog_parser.y                 |  131 +-
 frontends/vhdl2verilog/vhdl2verilog.cc             |    4 +-
 kernel/celledges.cc                                |  209 ++
 kernel/celledges.h                                 |   63 +
 kernel/celltypes.h                                 |   42 +-
 kernel/driver.cc                                   |   38 +-
 kernel/hashlib.h                                   |    7 +-
 kernel/log.cc                                      |   51 +-
 kernel/log.h                                       |   48 +-
 kernel/register.cc                                 |   77 +-
 kernel/register.h                                  |   17 +
 kernel/rtlil.cc                                    |   51 +-
 kernel/rtlil.h                                     |    5 +
 kernel/satgen.h                                    |  131 +
 kernel/yosys.cc                                    |   45 +-
 kernel/yosys.h                                     |    4 +-
 manual/APPNOTE_012_Verilog_to_BTOR.tex             |    4 +-
 manual/CHAPTER_CellLib.tex                         |    6 +-
 manual/CHAPTER_Optimize.tex                        |   26 +-
 manual/CHAPTER_Overview.tex                        |    6 +-
 manual/CHAPTER_Prog/stubnets.cc                    |    2 +-
 manual/PRESENTATION_ExSyn.tex                      |   10 +-
 manual/PRESENTATION_Prog.tex                       |    4 +-
 manual/PRESENTATION_Prog/my_cmd.cc                 |    2 +-
 manual/command-reference-manual.tex                |    6 +-
 manual/manual.tex                                  |    6 +-
 passes/cmds/check.cc                               |    2 +-
 passes/cmds/connwrappers.cc                        |    2 +-
 passes/cmds/cover.cc                               |    2 +-
 passes/cmds/plugin.cc                              |    8 +-
 passes/cmds/qwp.cc                                 |   32 +-
 passes/cmds/scc.cc                                 |    8 +-
 passes/cmds/select.cc                              |   42 +-
 passes/cmds/setattr.cc                             |    6 +
 passes/cmds/setundef.cc                            |   94 +-
 passes/cmds/show.cc                                |   18 +-
 passes/cmds/splice.cc                              |    2 +-
 passes/cmds/splitnets.cc                           |    2 +-
 passes/cmds/stat.cc                                |    2 +-
 passes/cmds/tee.cc                                 |   10 +
 passes/cmds/torder.cc                              |    2 +-
 passes/equiv/equiv_induct.cc                       |    2 +-
 passes/equiv/equiv_make.cc                         |    2 +-
 passes/equiv/equiv_mark.cc                         |    2 +-
 passes/equiv/equiv_miter.cc                        |    2 +-
 passes/equiv/equiv_purge.cc                        |    2 +-
 passes/equiv/equiv_remove.cc                       |    2 +-
 passes/equiv/equiv_simple.cc                       |    2 +-
 passes/equiv/equiv_status.cc                       |    2 +-
 passes/equiv/equiv_struct.cc                       |    2 +-
 passes/fsm/fsm.cc                                  |    2 +-
 passes/fsm/fsm_detect.cc                           |  139 +-
 passes/fsm/fsm_expand.cc                           |    2 +-
 passes/fsm/fsm_export.cc                           |    2 +-
 passes/fsm/fsm_extract.cc                          |    9 +-
 passes/fsm/fsm_info.cc                             |    2 +-
 passes/fsm/fsm_map.cc                              |    2 +-
 passes/fsm/fsm_opt.cc                              |    2 +-
 passes/fsm/fsm_recode.cc                           |    2 +-
 passes/hierarchy/hierarchy.cc                      |   20 +-
 passes/hierarchy/singleton.cc                      |    2 +-
 passes/hierarchy/submod.cc                         |    6 +-
 passes/memory/Makefile.inc                         |    1 +
 passes/memory/memory.cc                            |   15 +-
 passes/memory/memory_bram.cc                       |    7 +-
 passes/memory/memory_collect.cc                    |   18 +-
 passes/memory/memory_dff.cc                        |    2 +-
 passes/memory/memory_map.cc                        |    2 +-
 passes/memory/memory_memx.cc                       |   92 +
 passes/memory/memory_share.cc                      |   51 +-
 passes/memory/memory_unpack.cc                     |    2 +-
 passes/opt/Makefile.inc                            |    4 +-
 passes/opt/opt.cc                                  |   52 +-
 passes/opt/opt_clean.cc                            |    5 +-
 passes/opt/{opt_const.cc => opt_expr.cc}           |  216 +-
 passes/opt/{opt_share.cc => opt_merge.cc}          |   70 +-
 passes/opt/opt_muxtree.cc                          |    4 +-
 passes/opt/opt_reduce.cc                           |    2 +-
 passes/opt/opt_rmdff.cc                            |  123 +-
 passes/opt/share.cc                                |   55 +-
 passes/opt/wreduce.cc                              |   31 +-
 passes/proc/proc.cc                                |   16 +-
 passes/proc/proc_arst.cc                           |    2 +-
 passes/proc/proc_clean.cc                          |    2 +-
 passes/proc/proc_dff.cc                            |    2 +-
 passes/proc/proc_dlatch.cc                         |  147 +-
 passes/proc/proc_init.cc                           |   31 +-
 passes/proc/proc_mux.cc                            |   53 +-
 passes/proc/proc_rmdead.cc                         |    6 +-
 passes/sat/Makefile.inc                            |    1 +
 passes/sat/assertpmux.cc                           |  240 ++
 passes/sat/eval.cc                                 |    2 +-
 passes/sat/expose.cc                               |    2 +-
 passes/sat/freduce.cc                              |    2 +-
 passes/sat/miter.cc                                |   12 +-
 passes/sat/sat.cc                                  |  217 +-
 passes/techmap/Makefile.inc                        |    8 +
 passes/techmap/abc.cc                              |  216 +-
 passes/techmap/aigmap.cc                           |    2 +-
 passes/techmap/alumacc.cc                          |    2 +-
 passes/techmap/attrmap.cc                          |  250 ++
 passes/techmap/attrmvcp.cc                         |  139 +
 passes/techmap/deminout.cc                         |  116 +
 passes/techmap/dff2dffe.cc                         |    2 +-
 passes/techmap/dffinit.cc                          |    2 +-
 passes/techmap/dfflibmap.cc                        |   26 +-
 passes/techmap/dffsr2dff.cc                        |    2 +-
 passes/techmap/extract.cc                          |   10 +-
 passes/techmap/hilomap.cc                          |    2 +-
 passes/techmap/insbuf.cc                           |   94 +
 passes/techmap/iopadmap.cc                         |  168 +-
 passes/techmap/lut2mux.cc                          |    2 +-
 passes/techmap/maccmap.cc                          |    2 +-
 passes/techmap/muxcover.cc                         |    2 +-
 passes/techmap/nlutmap.cc                          |   20 +-
 passes/techmap/pmuxtree.cc                         |    2 +-
 passes/techmap/shregmap.cc                         |  584 ++++
 passes/techmap/simplemap.cc                        |   33 +-
 passes/techmap/techmap.cc                          |   48 +-
 passes/techmap/tribuf.cc                           |    2 +-
 passes/tests/test_autotb.cc                        |   63 +-
 passes/tests/test_cell.cc                          |  171 +-
 techlibs/common/prep.cc                            |  170 +-
 techlibs/common/simlib.v                           |  136 +-
 techlibs/common/synth.cc                           |  177 +-
 techlibs/common/techmap.v                          |    6 +-
 techlibs/greenpak4/Makefile.inc                    |    2 +
 techlibs/greenpak4/cells_map.v                     |   74 +-
 techlibs/greenpak4/cells_sim.v                     |  425 ++-
 techlibs/greenpak4/gp_dff.lib                      |   26 +-
 techlibs/greenpak4/greenpak4_counters.cc           |  442 +++
 techlibs/greenpak4/greenpak4_dffinv.cc             |  197 ++
 techlibs/greenpak4/synth_greenpak4.cc              |  192 +-
 techlibs/ice40/Makefile.inc                        |    1 +
 techlibs/ice40/ice40_ffinit.cc                     |   38 +-
 techlibs/ice40/ice40_ffssr.cc                      |    9 +-
 techlibs/ice40/ice40_opt.cc                        |   38 +-
 techlibs/ice40/latches_map.v                       |   11 +
 techlibs/ice40/synth_ice40.cc                      |  210 +-
 techlibs/xilinx/Makefile.inc                       |    1 +
 techlibs/xilinx/cells_xtra.sh                      |  145 +
 techlibs/xilinx/cells_xtra.v                       | 3293 ++++++++++++++++++++
 techlibs/xilinx/synth_xilinx.cc                    |    4 +-
 tests/asicworld/code_hdl_models_arbiter_tb.v       |   28 +-
 tests/asicworld/code_verilog_tutorial_counter_tb.v |   36 +-
 .../code_verilog_tutorial_first_counter_tb.v       |   15 +-
 .../asicworld/code_verilog_tutorial_fsm_full_tb.v  |   12 +-
 tests/simple/constmuldivmod.v                      |   27 +
 tests/simple/mem2reg.v                             |   41 +-
 tests/simple/memory.v                              |   64 +
 tests/tools/autotest.sh                            |   59 +-
 tests/tools/cmp_tbdata.c                           |    4 +-
 201 files changed, 12525 insertions(+), 1800 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list