[yosys] 01/10: Merge tag 'upstream/0.6' into new

Sebastian Kuzminsky seb_kuzminsky-guest at moszumanska.debian.org
Fri Mar 4 05:09:46 UTC 2016


This is an automated email from the git hooks/post-receive script.

seb_kuzminsky-guest pushed a commit to branch master
in repository yosys.

commit 93b1bcd5dec9be1250e89e25405092469a9c8d34
Merge: 0fa23a0 5869d26
Author: Sebastian Kuzminsky <seb at highlab.com>
Date:   Mon Feb 29 21:47:04 2016 -0700

    Merge tag 'upstream/0.6' into new

 .gitignore                                         |   1 +
 CHANGELOG                                          |  88 +++
 CodeOfConduct                                      |  73 ++
 CodingReadme                                       |   7 +-
 Makefile                                           |  44 +-
 README                                             |  12 +-
 backends/blif/blif.cc                              |  13 +-
 backends/btor/btor.cc                              |  16 +-
 backends/smt2/Makefile.inc                         |  13 +
 backends/smt2/example.v                            |  11 +
 backends/smt2/example.ys                           |   3 +
 backends/smt2/smt2.cc                              |  31 +-
 backends/smt2/smtbmc.py                            | 225 ++++++
 backends/smt2/smtio.py                             | 325 +++++++++
 backends/smv/smv.cc                                |  10 +-
 .../example_basys3 => examples/basys3}/README      |   3 +
 .../example_basys3 => examples/basys3}/example.v   |   0
 .../example_basys3 => examples/basys3}/example.xdc |   0
 .../example_basys3 => examples/basys3}/run.sh      |   0
 .../basys3}/run_prog.tcl                           |   0
 .../basys3}/run_vivado.tcl                         |   0
 .../basys3}/run_yosys.ys                           |   0
 {techlibs => examples}/cmos/cmos_cells.lib         |   0
 {techlibs => examples}/cmos/cmos_cells.sp          |   0
 {techlibs => examples}/cmos/cmos_cells.v           |   0
 {techlibs => examples}/cmos/counter.v              |   0
 {techlibs => examples}/cmos/counter.ys             |   0
 {techlibs => examples}/cmos/testbench.sh           |   0
 {techlibs => examples}/cmos/testbench.sp           |   0
 misc/example.cc => examples/cxx-api/demomain.cc    |   2 +-
 frontends/ast/ast.cc                               |   2 +-
 frontends/ast/genrtlil.cc                          |  53 +-
 frontends/ast/simplify.cc                          |  77 +-
 frontends/blif/blifparse.cc                        | 127 ++--
 frontends/blif/blifparse.h                         |   2 +-
 frontends/ilang/ilang_parser.y                     |  24 +-
 frontends/verific/Makefile.inc                     |   5 +-
 frontends/verific/build_amd64.txt                  |   2 -
 frontends/verific/verific.cc                       |  84 ++-
 frontends/verilog/verilog_parser.y                 |   4 +-
 kernel/calc.cc                                     |  20 +-
 kernel/cellaigs.cc                                 |   2 +-
 kernel/driver.cc                                   |   2 +-
 kernel/hashlib.h                                   | 149 +++-
 kernel/macc.h                                      |   4 +-
 kernel/modtools.h                                  |  27 +-
 kernel/register.cc                                 |  51 +-
 kernel/rtlil.cc                                    | 213 ++++--
 kernel/rtlil.h                                     |  16 +-
 kernel/satgen.h                                    |   2 +-
 kernel/sigtools.h                                  | 166 +----
 kernel/yosys.cc                                    |  14 +-
 kernel/yosys.h                                     |  11 +
 libs/ezsat/ezsat.cc                                |  24 +-
 manual/CHAPTER_Appnotes.tex                        |   4 +
 manual/clean.sh                                    |   2 +-
 manual/command-reference-manual.tex                | 374 +++++++++-
 passes/cmds/Makefile.inc                           |   1 +
 passes/cmds/edgetypes.cc                           |   6 +-
 passes/cmds/plugin.cc                              |   2 +-
 passes/cmds/qwp.cc                                 |  20 +-
 passes/cmds/scc.cc                                 |   4 +-
 passes/cmds/select.cc                              |  50 ++
 passes/cmds/show.cc                                |   2 +-
 passes/cmds/splice.cc                              |   2 +-
 passes/cmds/splitnets.cc                           |  61 +-
 passes/cmds/stat.cc                                |  70 +-
 passes/cmds/torder.cc                              | 123 ++++
 passes/equiv/Makefile.inc                          |   3 +
 passes/equiv/equiv_add.cc                          | 152 +++-
 passes/equiv/equiv_induct.cc                       |   8 +-
 passes/equiv/equiv_make.cc                         |   2 +-
 passes/equiv/equiv_mark.cc                         | 239 ++++++
 passes/equiv/equiv_miter.cc                        |   2 +-
 passes/equiv/equiv_purge.cc                        | 210 ++++++
 passes/equiv/equiv_simple.cc                       |   6 +-
 passes/equiv/equiv_struct.cc                       | 367 ++++++++++
 passes/fsm/fsm_opt.cc                              |   2 +-
 passes/fsm/fsm_recode.cc                           |   2 +-
 passes/fsm/fsmdata.h                               |   2 +-
 passes/hierarchy/Makefile.inc                      |   1 +
 passes/hierarchy/hierarchy.cc                      |  10 +-
 passes/hierarchy/singleton.cc                      | 101 +++
 passes/hierarchy/submod.cc                         |  41 +-
 passes/memory/memory_bram.cc                       |  10 +-
 passes/memory/memory_collect.cc                    |   2 +-
 passes/memory/memory_dff.cc                        |  15 +-
 passes/memory/memory_share.cc                      |   2 +-
 passes/opt/opt_clean.cc                            |   2 +-
 passes/opt/opt_const.cc                            |   2 +-
 passes/opt/opt_muxtree.cc                          |   2 +-
 passes/opt/share.cc                                |  58 +-
 passes/opt/wreduce.cc                              |  59 +-
 passes/proc/proc_mux.cc                            | 189 ++++-
 passes/sat/eval.cc                                 |   2 +-
 passes/sat/expose.cc                               |  20 +-
 passes/sat/freduce.cc                              |   2 +-
 passes/sat/sat.cc                                  |  12 +-
 passes/techmap/Makefile.inc                        |   3 +-
 passes/techmap/abc.cc                              | 111 ++-
 passes/techmap/alumacc.cc                          |   6 +-
 passes/techmap/dffinit.cc                          |   6 +-
 passes/techmap/dfflibmap.cc                        |  76 +-
 passes/techmap/dffsr2dff.cc                        | 213 ++++++
 passes/techmap/extract.cc                          |   4 +-
 passes/techmap/maccmap.cc                          |   2 +-
 passes/techmap/muxcover.cc                         |   6 +-
 passes/techmap/simplemap.cc                        |   4 +-
 passes/techmap/techmap.cc                          |   4 +-
 passes/tests/test_cell.cc                          |   2 +-
 techlibs/common/.gitignore                         |   2 +
 techlibs/common/Makefile.inc                       |  16 +
 techlibs/common/cellhelp.py                        |  34 +
 techlibs/common/{synth.cc => prep.cc}              | 106 +--
 techlibs/common/simcells.v                         | 809 +++++++++++++++++++--
 techlibs/common/synth.cc                           |   2 +
 techlibs/ice40/Makefile.inc                        |   1 +
 techlibs/ice40/brams_map.v                         |  16 +-
 techlibs/ice40/cells_sim.v                         |  16 +-
 techlibs/ice40/ice40_ffinit.cc                     | 163 +++++
 techlibs/ice40/ice40_opt.cc                        |  21 +-
 techlibs/ice40/synth_ice40.cc                      |  18 +
 techlibs/xilinx/synth_xilinx.cc                    |   6 +-
 tests/simple/graphtest.v                           |  34 +
 tests/simple/memory.v                              |  15 +
 tests/simple/task_func.v                           |  42 +-
 tests/simple/wreduce.v                             |   9 +
 127 files changed, 5138 insertions(+), 813 deletions(-)

-- 
Alioth's /usr/local/bin/git-commit-notice on /srv/git.debian.org/git/debian-science/packages/yosys.git



More information about the debian-science-commits mailing list